首页 > 其他分享 >基于FPGA的电子琴设计(按键和蜂鸣器)----第一版

基于FPGA的电子琴设计(按键和蜂鸣器)----第一版

时间:2024-05-15 19:58:18浏览次数:12  
标签:脉冲 蜂鸣器 FPGA ctrl 200ms num 按键 电子琴

欢迎各位朋友关注“郝旭帅电子设计团队”,本篇为各位朋友介绍基于FPGA的电子琴设计(按键和蜂鸣器)----第一版。

功能说明:

  外部输入七个按键,分别对应音符的“1、2、3、4、5、6、7”,唱作do、re、mi、fa、sol、la、si。当某个按键按下时,蜂鸣器发出对应的声音----1. 默认发出0.2秒(可以调整)。2. 蜂鸣器发出对应的中音。 

使用平台:本次设计应用Altera的平台设计(芯片:EP4CE10F17C8N)。

仿真平台:Modelsim。

作者QQ:746833924

说明:本篇设计中不涉及到IP和原语,代码在其他平台依然可以适用;当其他板卡电路不同时,会导致不同的现象出现,如有需要修改代码请联系作者;如需作者使用的板卡,请联系作者;

 

蜂鸣器是一种一体化结构的电子讯响器,采用直流电压供电,广泛应用于计算机、打印机、复印机、报警器、电子玩具、汽车电子设备、电话机、定时器等电子产品中作发声器件

 

 

蜂鸣器主要分为压电式蜂鸣器和电磁式蜂鸣器两种类型。

 

压电式蜂鸣器 压电式蜂鸣器主要由多谐振荡器、压电蜂鸣片、阻抗匹配器及共鸣箱、外壳等组成。有的压电式蜂鸣器外壳上还装有发光二极管。多谐振荡器由晶体管或集成电路构成。当接通电源后(1.5~15V直流工作电压),多谐振荡器起振,输出1.5~2.5kHZ的音频信号,阻抗匹配器推动压电蜂鸣片发声。
电磁式蜂鸣器电磁式蜂鸣器由振荡器、电磁线圈、磁铁、振动膜片及外壳等组成。接通电源后,振荡器产生的音频信号电流通过电磁线圈,使电磁线圈产生磁场。振动膜片在电磁线圈和磁铁的相互作用下,周期性地振动发声。
按照内部有无震荡源可以分为有源蜂鸣器和无源蜂鸣器。有源蜂鸣器内部带震荡源,所以只要一通电就会发出声音;而无源内部不带震荡源,所以如果用直流信号无法令其鸣叫。必须用一定频率的方波去驱动它。
蜂鸣器给予不同的频率是可以发出近似1、2、3、4、5、6、7这七个基本音符。

设计思想如下:

 

        key_ctrl模块负责将外部的按键信号进行消抖,并且产生对应边沿变化时的脉冲;piano_beep_ctrl模块负责根据脉冲信号产生输出控制脉冲(一个周期)和应该输出音符对应波形的半周期数;beep_ctrl模块根据piano_beep_ctrl产生脉冲时,接收半周期数,然后产生对应的方波持续0.2秒。

 

key_ctrl模块设计思想为:按键信号是由外部机械式按键产生,每次按下或者抬起时,会产生一定的抖动。如果直接对其进行边沿检测就会导致多次触发。故而需要设计按键消抖,进而对消抖之后的波形进行边沿检测。消抖原理为:外部按键信号发生改变后,如果能够持续20ms,没有新的改变,就认为此次改变不是抖动,而是真正的按下,然后进行采样即可。

 

// 记录任意边沿之后没有遇到新的边沿的时间长度是否达到20Ms 

//--------------------------------------------------------------------------------------  

always@(posedgeclk)begin

   if(rst_n ==1'b0)

     cnt_20ms <=20'd0;

   else

     if(pulse_key_negedge ==1'b1||pulse_key_posedge ==1'b1)

       cnt_20ms <=20'd1;

     else

       if(cnt_20ms >20'd0&&cnt_20ms <T_20ms)

         cnt_20ms <=cnt_20ms +1'b1;

       else

           cnt_20ms <=20'd0;

 end

// --------------------------------------------------------------------------------------- 

// 任意边沿之后没有遇到新的边沿的时间长度达到20Ms,认为按键稳定,此时采样 

//--------------------------------------------------------------------------------------    

initial key_wave = 1'b1;


always@(posedgeclk)begin

   if(rst_n ==1'b0)

     key_wave <=1'b1;

   else

     if(cnt_20ms ==T_20ms)

       key_wave <=key_rr;

     else

       key_wave <=key_wave;

 end

//--------------------------------------------------------------------------------------

// 对消抖之后的按键信号进行边沿检测 

//---------------------------------------------------------------------------------------------

initialkey_wave_r =1'b1;

always@(posedgeclk)key_wave_r <=key_wave;

assignflag_neg =(key_wave_r ==1'b1&&key_wave ==1'b0)?1'b1:1'b0;

assignflag_pos =(key_wave_r ==1'b0&&key_wave ==1'b1)?1'b1:1'b0;

//--------------------------------------------------------------------------------------------  

 

piano_beep_crtl模块中,计算半周期数的方法如下:

 

假如要发出中音“1”,就需要输出频率为523.3hz的方波。此方波对应的周期为1910949.742021785 ns,我们取1910950ns。因为我们使用的基本时钟为50MHz,周期为20ns,以及我们预计计数一半取反来生成方波,所以只需要计数一般,即:1910950/2/20 = 47774;

 

将其他的全部计算出来:

 

// 1    :     523.3Hz       num : 47774

// 2    :     587.3Hz       num : 42568

// 3    :     659.3Hz       num : 37919

// 4    :     698.5Hz       num : 35791

// 5    :     784Hz          num : 31888

// 6    :     880Hz          num : 28409

// 7    :     987.8Hz       num : 25309



// 产生输出脉冲:无论外部那个脉冲有效,都对外产生一个脉冲

 always @ (posedge clk) begin

   if (rst_n == 1'b0)

     flag <= 1'b0;

   else

     flag <= |key_flag;

 end

  

// 如果外部多个脉冲同时有效,那么输出num为0

 always @ (posedge clk) begin

   if (rst_n == 14'b0)

     num <= 32'd0;

   else

     case (key_flag)

       7'b100_0000    :   num <= 32'd47774;

       7'b010_0000    :   num <= 32'd42568;

       7'b001_0000    :   num <= 32'd37919;

       7'b000_1000    :   num <= 32'd35791;

       7'b000_0100    :   num <= 32'd31888;

       7'b000_0010    :   num <= 32'd28409;

       7'b000_0001    :   num <= 32'd25309;

       default        :   num <= 32'd0;

     endcase

 end

 

以上即为piano_beep_ctrl模块的设计思想;

 

在beep_ctrl中,首先设计一个当输入脉冲时,就让一个计数器cnt_200ms记录0.2秒。

 

// 外部输入一个脉冲,cnt_200ms计数器记录200ms的时间 

 // 如果第一个脉冲输入后,没有计时到200ms,第二个脉冲没有任何作用

 always @ (posedge clk) begin

   if (rst_n == 1'b0)

     cnt_200ms <= 32'd0;

   else

     if (flag == 1'b1 && cnt_200ms == 32'd0)

       cnt_200ms <= cnt_200ms + 1'b1;

     else

       if (cnt_200ms > 32'd0 && cnt_200ms < T_200ms - 1'b1)

         cnt_200ms <= cnt_200ms + 1'b1;

       else

         cnt_200ms <= 32'd0;

 end

 

由于外部给予半周期数时,只有一个周期有效,所以需要寄存一下。

 

//外部输入脉冲时,寄存外部输入的半周期的时钟数

 always @ (posedge clk) begin

   if (rst_n == 1'b0)

     num_r <= 32'd0;

   else

     if (flag == 1'b1 && cnt_200ms == 32'd0)

       num_r <= num;

     else

       num_r <= num_r;

 end

 

当200ms计数器开始计数后,我们启动另外一个计数器ocnt,来记录需要输出方波的半周期数。然后当到半周期数的时候,将输出值取反。

 

// 当200ms开始计时后,输出计数器开始计数,

// 只需要计数到外部输入的半周期时钟数即可

   always @ (posedge clk) begin

   if (rst_n == 1'b0)

     ocnt <= 32'd0;

   else

     if (cnt_200ms > 32'd0)

       if (ocnt < num_r)

         ocnt <= ocnt + 1'b1;

       else

         ocnt <= 32'd0;

     else

       ocnt <= 32'd0;

 end



// 当外部输入的半周期时钟数为0时,蜂鸣器不动作

// 当不为0时,到半周期时钟数,将输出取反

 always @ (posedge clk) begin

   if (rst_n == 1'b0)

     beep <= 1'b0;

   else

     if (num_r == 32'd0)

       beep <= 1'b0;

     else

       if (ocnt == num_r)

         beep <= ~beep;

       else

         beep <= beep;

 end

 

以上即为所有的设计说明。

 

仿真时,需要将消抖模块中的20ms进行调小,否则仿真的时长将会格外的长。

 

 

    下板后,经过按下不同的按键,蜂鸣器就可以产生对应的声音。

  下板后,演示视频(链接)如下:
https://www.bilibili.com/video/BV1Gk4y1X7XT/?spm_id_from=333.999.0.0&vd_source=b5405faeab8632f02533bcbfc5e52e55
     本设计所有内容(设计代码、设计工程)链接为:

 

链接:https://pan.baidu.com/s/1VGbPqU9O_k2UBtOGkMJjIQ 

提取码:dzye

  本篇内容中有部分资源来源于网络,如有侵权,请联系作者。

 

  如果您觉得本公众号还不错的话,可以推给身边的朋友们,感谢并祝好!

标签:脉冲,蜂鸣器,FPGA,ctrl,200ms,num,按键,电子琴
From: https://www.cnblogs.com/fendoudexiaohai/p/18194592

相关文章

  • 基于UltraScale架构的XCVU3P-3FFVC1517E XCVU3P-2FFVC1517I XCVU3P-1FFVC1517E高性能
    概述VirtexUltraScale+器件是基于14nm/16nmFinFET节点的高性能FPGA,支持3DIC技术和多种计算密集型应用。AMD第三代3DIC使用堆叠硅片互联(SSI)技术打破了摩尔定律的限制,并且实现了最高信号处理和串行I/O带宽,以满足最严格的设计要求。它还提供了一个虚拟的单片设......
  • 基于肤色模型的人脸识别FPGA实现,包含tb测试文件和MATLAB辅助验证
    1.算法运行效果图预览matlab2022a的测试结果如下:   vivado2019.2的仿真结果如下:   将数据导入到matlab中,   系统的RTL结构图如下图所示:   系统包括中值滤波,RGB转换为ycbcr,人脸检测三个模块 2.算法运行软件版本vivado2019.2 matlab2022a......
  • FPGA/EDA实验箱-竞赛普及版(ALTERA)
    型号:XQEP4CE-TEBV6.11、产品概述FPGA/EDA实验箱是一款FPGA教学实验系统,由FPGA开发板、显示屏、下载器、应用模块及相关实验配件组成;FPGA开发板采用底板+核心板的设计架构,核心板板采用是六层PCB设计,采用大容量管脚更多的BGA封装,F484封装,使整个核心板的性能和稳定性有了很大的提......
  • 基于FPGA的贪吃蛇游戏 之代码解析
    基于FPGA的贪吃蛇游戏之代码解析1. 代码结构代码结构包含7格.v文件。  下面依次解析。   2. 代码解析(1) seg_display.v数码管的译码模块是最熟悉,最简单的模块了。这里是共阳极的数码管,用case语句编码即可。从上图可以看到,这个模块被例化了3次,分别驱动3个数码......
  • m基于FPGA的MPPT最大功率跟踪算法verilog实现,包含testbench
    1.算法仿真效果其中Vivado2019.2仿真结果如下:   使用matlab进行显示如下:   2.算法涉及理论知识概要       在太阳能光伏系统中,最大功率点跟踪(MaximumPowerPointTracking,MPPT)是提高能量转换效率的关键技术之一。爬山法(HillClimbingAlgorithm,HCA)......
  • FPGA的DDS部分学习
    这边是32个采样点的正弦波信号,通过DAC输出,也就是数模转换出来的。如果每1ms输出一个信号,也就是DAC以1000HZ输出,那么下面这样一个完整的正弦信号需要32个点。也就是32ms所以输出一个完整周期正弦波信号的频率为1000/32HZ(f=1/T,这边完整周期信号的时间周期是32ms,1/32ms就是1000/32H......
  • FPGA实现Canny算法(Verilog)
    在边缘检测算法里面Sobel是比较简单的一个算法,但是其检测出来的边缘往往是比较粗的,效果不是很好,因为我们最理想的边缘肯定就是一个宽度为1的细线。Canny算法在此基础上进行了改进,通过使用边缘的梯度信息进行非最大值抑制(NMS)和利用双阈值,这些措施消除了假性边缘,提高了边缘检测的......
  • 零、基于FPGA的贪吃蛇游戏设计概述
    零、基于FPGA的贪吃蛇游戏设计概述1. 代码结构系统模块如图所示:   snake_top.v:顶层模块,例化子模块,连接及与外部信号通信;game_ctrl_unit.v:游戏状态控制模块,控制状态转换和输出;snake.v:控制蛇身运动方向和蛇身增长;appple_generate.v:通过加法产生随机的食物坐标;VGA_ctr......
  • 基于直方图的图像曝光量分析FPGA实现,包含tb测试文件和MATLAB辅助验证
    1.算法运行效果图预览正常图像:   checkb位于f192b和f250b之间 多度曝光图像:   checkb位于f192b和f250b之外,判决为曝光过度。 2.算法运行软件版本vivado2019.2 matlab2022a 3.算法理论概述参考资料如下:   主要采用的方法为:  4.部分......
  • m基于CCSDS标准的LDPC编码器的FPGA实现,包含testbench,码长1024,码率0.5
    1.算法仿真效果vivado2019.2仿真结果如下:   2.算法涉及理论知识概要      LDPC码是一种具有稀疏校验矩阵的线性分组码,由RobertG.Gallager在1962年首次提出。它利用图论中的Tanner图来表示其编解码结构,其中节点分为变量节点和校验节点。变量节点对应于消息比特......