1.算法运行效果图预览
matlab2022a的测试结果如下:
vivado2019.2的仿真结果如下:
将数据导入到matlab中,
系统的RTL结构图如下图所示:
系统包括中值滤波,RGB转换为ycbcr,人脸检测三个模块
2.算法运行软件版本
vivado2019.2
matlab2022a
3.算法理论概述
肤色模型通常定义在特定的颜色空间中,常见的有RGB、HSV、YCbCr、Lab等。在这些颜色空间中,YCbCr因其能较好地分离亮度(Y)和色度信息(Cb和Cr),常被用于肤色检测。肤色模型可以是简单的阈值方法,也可以是复杂的概率模型,如高斯模型或混合高斯模型。
对于给定的像素点Cbi,Cri),可以通过计算其在肤色模型下的概率密度值来判断是否属于肤色区域。如果该值超过某一阈值T,则认为该像素属于肤色区域:
在肤色检测之前,通常需要对图像进行预处理,如灰度化、去噪、光照补偿等,以减少环境因素的干扰。对于彩色图像,首先将其从RGB空间转换至YCbCr空间:
基于肤色模型,肤色分割通常采用阈值法或概率判决法。阈值法直接设定Cb和Cr的阈值范围,如:
基于肤色模型的人脸识别技术利用了肤色在色彩空间中的统计特性,通过构建肤色概率模型实现人脸区域的初步定位。尽管这种方法对于复杂背景和光照变化敏感,但通过适当的预处理、后处理及模型优化,可以有效提升识别准确率。
4.部分核心程序
`timescale 1ns / 1ps module TEST(); reg i_clk; reg i_rst; reg [7:0] Isave[0:220000]; integer fids; integer dat; integer Pix_begin; integer Sizes; initial begin fids = $fopen("D:\\FPGA_Proj\\FPGAtest\\code\\test.bmp","rb"); dat = $fread(Isave,fids); //有效像素起始位置 Pix_begin = {Isave[13], Isave[12], Isave[11], Isave[10]}; //尺寸 Sizes = {Isave[5], Isave[4], Isave[3], Isave[2]}; $fclose(fids); end initial begin i_clk=1; i_rst=1; #1000 i_rst=0; end always #5 i_clk=~i_clk; integer jj=0; reg [7:0]R; reg [7:0]G; reg [7:0]B; always@(posedge i_clk) begin R<=Isave[jj+2];//这个datas可以用于输入到FPGA的后期处理 G<=Isave[jj+1];//这个datas可以用于输入到FPGA的后期处理 B<=Isave[jj];//这个datas可以用于输入到FPGA的后期处理 jj<=jj+3; end wire [7:0]o_Rmed,o_Gmed,o_Bmed; wire [7:0]o_Y;// Y wire [7:0]o_Cr;// Y wire [7:0]o_Cb;// Y wire [7:0]o_face_check; tops tops_u( .i_clk (i_clk), .i_rst (i_rst), .i_R (R), .i_G (G), .i_B (B), .o_Rmed (o_Rmed), .o_Gmed (o_Gmed), .o_Bmed (o_Bmed), .o_Y (o_Y),// Y .o_Cr (o_Cr),// Y .o_Cb (o_Cb),// Y .o_face_check (o_face_check) ); integer fout1; initial begin fout1 = $fopen("face.txt","w"); end always @ (posedge i_clk) begin if(jj<=65536*3+54 & jj>54) $fwrite(fout1,"%d\n",o_face_check); else $fwrite(fout1,"%d\n",0); end endmodule
标签:人脸识别,FPGA,clk,tb,模型,Isave,integer,肤色,reg From: https://www.cnblogs.com/matlabworld/p/18192474