首页 > 其他分享 >基于直方图的图像曝光量分析FPGA实现,包含tb测试文件和MATLAB辅助验证

基于直方图的图像曝光量分析FPGA实现,包含tb测试文件和MATLAB辅助验证

时间:2024-05-02 15:56:17浏览次数:14  
标签:wire FPGA clk fids 直方图 量分析 ready reg

1.算法运行效果图预览

正常图像:

 

 

 

checkb位于f192b和f250b之间

 

多度曝光图像:

 

 

 

checkb位于f192b和f250b之外,判决为曝光过度。

 

2.算法运行软件版本

vivado2019.2

 

matlab2022a

 

3.算法理论概述

参考资料如下:

 

 

 

主要采用的方法为:

 

 

4.部分核心程序

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
 
 
 
module test_image;
 
reg i_clk;
reg i_rst;
reg i_ready;
reg [7:0] Tmp[0:100000];
reg [7:0] datas;
wire[15:0]o_histb;
wire o_f192b;
wire o_f250b;
wire o_checkb;
wire[23:0]o_hist996b;
wire[23:0]o_histcumb;
integer fids,jj=0,dat;
 
//D:\FPGA_Proj\FPGAtest\code2
 
initial 
begin
	fids = $fopen("D:\\FPGA_Proj\\FPGAtest\\code2\\datab.bmp","rb");
	dat  = $fread(Tmp,fids);
	$fclose(fids);
end
 
initial 
begin
i_clk=1;
i_rst=1;
i_ready=0;
#1000;
i_ready=1;
i_rst=0;
#655350;
i_ready=0;
end 
 
always #5  i_clk=~i_clk;
 
always@(posedge i_clk) 
begin
	datas<=Tmp[jj];
	jj<=jj+1;
end
 
 
im_hist im_hist_u(
.i_clk    (i_clk),
.i_rst    (i_rst),
.i_ready  (i_ready),
.i_xin    (datas),
.o_histb   (o_histb), 
.o_f192b   (o_f192b),
.o_f250b   (o_f250b),
.o_hist996b(o_hist996b),
.o_histcumb(o_histcumb),
.o_checkb  (o_checkb)
);
 
 
endmodule

  

标签:wire,FPGA,clk,fids,直方图,量分析,ready,reg
From: https://www.cnblogs.com/matlabworld/p/18170262

相关文章

  • m基于CCSDS标准的LDPC编码器的FPGA实现,包含testbench,码长1024,码率0.5
    1.算法仿真效果vivado2019.2仿真结果如下:   2.算法涉及理论知识概要      LDPC码是一种具有稀疏校验矩阵的线性分组码,由RobertG.Gallager在1962年首次提出。它利用图论中的Tanner图来表示其编解码结构,其中节点分为变量节点和校验节点。变量节点对应于消息比特......
  • (中文规格)FPGA - 现场可编程门阵列: XC7S15-1CPGA196I、LCMXO3L-4300C-5BG256C,FS32K142
    1、XC7S15-1CPGA196I  Spartan®-7现场可编程门阵列产品种类:FPGA-现场可编程门阵列系列:XC7S15逻辑元件数量:12800LE自适应逻辑模块-ALM:2000ALM嵌入式内存:360kbit输入/输出端数量:100I/O电源电压-最小:950mV电源电压-最大:1.05V最小工作温度:-40°C最大工作温度:+100°C数......
  • 基于直方图的图像阈值计算和分割算法FPGA实现,包含tb测试文件和MATLAB辅助验证
    1.算法运行效果图预览   2.算法运行软件版本VIVADO2019.2 matlab2022a 3.算法理论概述      图像阈值计算和分割是图像处理领域的一项重要任务,它通过设定一个阈值将图像从灰度空间转化为二值空间,从而实现对图像区域的有效划分。基于直方图的阈值选取方......
  • 流量特征分析-蚁剑流量分析
    简介1.木马的连接密码是多少2.黑客执行的第一个命令是什么3.黑客读取了哪个文件的内容,提交文件绝对路径4.黑客上传了什么文件到服务器,提交文件名5.黑客上传的文件内容是什么6.黑客下载了哪个文件,提交文件绝对路径步骤#1.1步骤#1.2黑客执行的第一个命令是什么,因为找的......
  • 基于混沌序列的图像加解密算法matlab仿真,并输出加解密之后的直方图
    1.算法运行效果图预览 2.算法运行软件版本matlab2022a 3.算法理论概述3.1混沌系统特性       混沌系统是一类具有确定性、非线性、初值敏感性、遍历性和伪随机性等特性的动力学系统。其主要特性包括: 确定性:混沌系统由一组确定性微分方程或差分方程描述......
  • 数字电路中的等效电路和FPGA中的等效电路
    欢迎各位朋友关注“郝旭帅电子设计团队”,本微信公众号会定时更新相关技术类资料、软件等等,希望各位朋友都能在本微信公众号获得一些自己想要的“东西”。本篇内容主要介绍数字电路中的等效电路(注意:是数字电路,不是模拟电路)。 上述为利用三个二输入与门组成的两种不同结构的四......
  • 基于ARM Cortex-M0软核处理器 在FPGA 搭建soc遇到的问题(灯不亮)
    1.Modelsim仿真发现所有的指令都不执行,可能是指令读取问题和总线信号的控制问题。 我遇到的:HRESP信号未使用,也没有赋值。查阅后发现HRESP标志传输状态,设置为常0即代表传输不会出错,就不会压制主机了。2.Modelsim仿真能通过,但是下载到FPGA上后,进行KEIL调试发现没有输出,我遇到的......
  • m基于FPGA的多功能信号发生器verilog实现,包含testbench,可以调整波形类型,幅度,频率,
    1.算法仿真效果vivado2019.2仿真结果如下:   输出正弦,并改变幅度,频率等。   输出方波,并改变幅度,频率等。   输出锯齿波,并改变幅度,频率等。   输出三角波,并改变幅度,频率等。 2.算法涉及理论知识概要       DDS(DirectDigitalSynthesis......
  • 基于FPGA的图像累积直方图verilog实现,包含tb测试文件和MATLAB辅助验证
    1.算法运行效果图预览    2.算法运行软件版本Vivado2019.2 matlab2022a 3.算法理论概述      图像累积直方图是一种重要的图像特征表示方法,它统计了图像中像素值累加分布的情况,广泛应用于图像增强、对比度调整、颜色校正、图像分割、目标检测等领域。FP......
  • 创新的FPGA:LAV-AT-E70-1CSG841C、LAV-AT-E70-2CSG841C、LAV-AT-E70-3CSG841C旨在实现
    Avant-E专为网络边缘处理而生Avant™-E系列中端FPGA是基于16nmFinFET工艺Avant平台推出的首款产品。该平台经过优化可提供同类FPGA产品中领先的低功耗、小尺寸和高性能。Avant-EFPGA旨在实现低延迟的网络边缘设备,非常适合有着明确时序要求的应用,包括工业环境中的机器视觉、机器......