b0
  • 2024-06-22P1072 [NOIP2009 提高组] Hankson 的趣味题【GCD】
    [NOIP2009提高组]Hankson的趣味题题目描述Hanks博士是BT(Bio-Tech,生物技术)领域的知名专家,他的儿子名叫Hankson。现在,刚刚放学回家的Hankson正在思考一个有趣的问题。今天在课堂上,老师讲解了如何求两个正整数
  • 2024-05-24C语言 基本算术运算
    函数表达e的x次方:exp(x)x的y次方:pow(x,y)根号x:    sqrt(x)|x|:      abs(x)lnx:      log(x)lgx:     sinx:    sin(x)cosx:    cos(x)分离个位十位百位千位的数字千位:x/1000%10百位:x/100%10十位:x/10%10
  • 2024-04-11洛谷题单指南-数学基础问题-P1072 [NOIP2009 提高组] Hankson 的趣味题
    原题链接:https://www.luogu.com.cn/problem/P1072题意解读:求有多少个x,满足x和a0​的最大公约数是a1​,x和b0​的最小公倍数是b1,多组数据。解题思路:枚举法:因为x和a0​的最大公约数是a1​,x和b0​的最小公倍数是b1,所以x不大于b1​。枚举x有两种思路:1、x是a1的倍数,最多需要枚举
  • 2024-04-10原语笔记:IDDR和ODDR
    IDDR IDDR的工作模式OPPOSITE_EDGE SAME_EDGEModeSAME_EDGE_PIPELINEDMode    参考使用:generategenvari;for(i=0;i<4;i=i+1)begin:iddr_blockIDDR#(.DDR_CLK_EDGE("SAME_EDGE_PIPELINED"),//"OPP
  • 2024-04-08假设a=3’b101,b=3’b001,下列描述错误的是()。
    选项:A、~^a=1'b0B、a<<2=3'b100C、(a<b)?1:0=0D、{3{2'b10}=6’b101010答案:A解析:归约操作符包括:归约与(&),归约与非(&),归约或(|),归约或非(|),归约异或(),归约同或(~)。归约操作符只有一个操作数,它对这个向量操作数逐位进行操作,最终产生一个1bit结果。~^a=~(1'b1^1'b0^1'b1)=~(1
  • 2024-03-31[吾题有解] HDLBits : Exams/m2014 q6b
    本题是一道简单的FSM设计题,题中已经给出了状态转移图,只要求我们输出用于表示状态的3位2进制(y[3:1])中第2位(y[2])的次态,这里主要是记录实现该输出的两种思路,且这两种描述思路下的代码在综合时可能会得到两种不同的电路。首先是第一种只采用一条assign语句的描述方法:moduleto
  • 2024-03-27OSERDES与HDMI
    参考之前笔记:Hdmi接口与XAPP460-CSDN博客原语:串并转换器-CSDN博客手册:XAPP460UG472AMD技术信息门户例化两次,其中一个调成slave,实现10转1;//例化OSERDESE2原语,实现并串转换,Master模式OSERDESE2#(.DATA_RATE_OQ("DDR"),//设置双倍数据速率.DATA_RA
  • 2024-02-19repeat得到的是[b0 b1 b0 b1]现在需要[b0 b0 b1 b1]
    pytorch一个tensor比如是[b0b1]用tensor.repeat(2)函数可以得到[b0b1b0b1]我现在想得到[b0b0b1b1]如何优雅的得到?importtorchc=torch.randint(0,9,(2,3))d=c.repeat(3,1)print(f"c={c}\nd={d}")d=c.unsqueeze(1)e=d.repeat(1,3,1)print(
  • 2024-01-142024/1/14 算法笔记
    1.图论的反向建边一般问题:有向图的多个起点到一个终点的最短距离是最短路的变式。我们只需要把图的箭头反向(正向变逆向,逆向变正向)矩阵:mp[u,v]=cost---->mp[v,u]=cost邻接表也是类似的方法[P2853USACO06DEC]CowPicnicS-洛谷|计算机科学教育新生态(luo
  • 2024-01-10基于FPGA的电子琴设计(按键和蜂鸣器)---第一版---郝旭帅电子设计团队
    本篇为各位朋友介绍基于FPGA的电子琴设计(按键和蜂鸣器)----第一版。功能说明:外部输入七个按键,分别对应音符的“1、2、3、4、5、6、7”,唱作do、re、mi、fa、sol、la、si。当某个按键按下时,蜂鸣器发出对应的声音----1.默认发出0.2秒(可以调整)。2.蜂鸣器发出对应的中音。使用平台:本次设计
  • 2023-12-2938 LVDS Select IO高速Serdes
    软件版本:VIVADO2021.1操作系统:WIN1064bit硬件平台:适用XILINXA7/K7/Z7/ZU/KU系列FPGA登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑!1概述高速串行通信优势非常巨大,只需要很少的IO引脚就可以实现高速通信,这也是当今FPGA高速接口的核心技术。
  • 2023-12-2711 ADC模块FEP-DAQ422X采集显示波形方案
    软件版本:VIVADO2021.1操作系统:WIN1064bit硬件平台:适用XILINXA7/K7/Z7/ZU/KU系列FPGA登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑!1概述本方案通过把DAQ422X采集到的数据,通过前面已经完成的示波器显示驱动进行在屏幕上显示ADC采集的波形数据
  • 2023-12-12verilog设计行为仿真和时序仿真不一致, 原来是敏感信号的问题
    描述最近在vivado中设计一个计算器:28bit有符号加减法,结果出现行为仿真和时序仿真不一致情况代码r_a,r_b:对计算数据a,b的寄存器存储,也是计算器的数据输入s_bit:符号位cout:28bit计算器的进位输出cout[27]:最高位进位,用来判断符号以及加法进位always@(a,b
  • 2023-12-112023第八届上海市大学生网络安全大赛-磐石行动(misc+crypto) WP
    Cryptobird题目docx文档出现:我的解答:使用在线工具即可:https://www.dcode.fr/birds-on-a-wire-cipherflag{birdislovely}crackme题目importosimportstringfromrandomimportrandint,samplefromCrypto.Util.numberimport*fromCrypto.CipherimportAESfromC
  • 2023-12-07m基于FPGA的8PSK调制解调系统verilog实现,包含testbench测试文件
    1.算法仿真效果vivado仿真结果如下:   借助matlab看8PSK的星座图:   2.算法涉及理论知识概要        随着通信技术的不断发展,相位调制技术因其高频谱效率和抗干扰能力而广泛应用于无线通信系统中。其中,8PSK(8相位相移键控)作为一种高阶调制方式,具有更高的频
  • 2023-11-14m基于FPGA的2ASK调制解调系统verilog实现,包含testbench测试文件
    1.算法仿真效果 本系统Vivado2019.2平台开发,测试结果如下:   2.算法涉及理论知识概要      2ASK调制解调是一种数字调制解调技术,它是基于ASK调制的一种数字调制方式。ASK调制是一种模拟调制方式,它是通过改变载波的振幅来传输数字信号。而2ASK调制解调则是将数
  • 2023-11-14m基于FPGA的2ASK调制解调系统verilog实现,包含testbench测试文件
    1.算法仿真效果本系统Vivado2019.2平台开发,测试结果如下:2.算法涉及理论知识概要2ASK调制解调是一种数字调制解调技术,它是基于ASK调制的一种数字调制方式。ASK调制是一种模拟调制方式,它是通过改变载波的振幅来传输数字信号。而2ASK调制解调则是将数字信号转换为二进制码,再通
  • 2023-10-221.参考例5.2.1,设计一个序列检测器。功能是检测出串行输入数据Sin中的4位二进制序列0101(自左至右输入),当检测到该序列时,输入Out=1;没有检测到该序列时,输入Out=0。要求不考虑序列
    设计块:moduleDetector2(inputCP,Sin,nCR,outputregOut);reg[1:0]Current_state,Next_state;parameterS0=2'b00,S1=2'b01,S2=2'b10,S3=2'b11;always@(posedgeCP,negedgenCR)begin if(~nCR)   begin    Current_state
  • 2023-09-27输出100-999之间所有的水仙花数。水仙花数指的是:各位数字立方和等于该数本身例如:153=1*1*1+5*5*5+3*3*3。
    #include<stdio.h>intmain(){ inti,b0,b1,b2; intcount=0; for(i=100;i<1000;i++) { b0=i/100; b1=(i-b0*100)/10; b2=i%10; if(i==((b0*b0*b0)+(b1*b1*b1)+(b2*b2*b2))) {  count++;  printf("%d\n",i); } } printf("水仙花个数是:%d",co
  • 2023-08-28数字 IC 总结
    数字IC知识总结芯片衡量指标(PPA)Performance(性能)Power(功耗)Area(面积)吞吐率每秒传递的bit位数字IC设计流程前端(逻辑设计)需求分析:客户向fabless提出设计要求,fabless将其翻译为对芯片产品的技术需求。算法设计:使用高级编程语言如C++设计和优化芯片钟所使用的
  • 2023-08-25day6
    结构体变量.成员名。(*指针变量).成员名。指针变量->成员名。注意A选项是指针变量,C选项是结构体变量 应该是Dsizeof(类)计算的是类中存在栈中的变量的大小,而类中的b和*c都是static静态变量,存在全局区中,因此不在计算范围之内,于是只剩下chara,void*p和两个v
  • 2023-08-06m基于FFT傅里叶变换的QPSK基带信号频偏估计和补偿算法FPGA实现,包含testbench和matlab星座图显示
    1.算法仿真效果本系统进行了Vivado2019.2平台的开发,并使用matlab2022a对结果进行星座图的显示:将FPGA的频偏基带QPSK信号和频偏补偿后的QPSK基带信号使用matlab显示星座图,结果如下:2.算法涉及理论知识概要QPSK(QuadraturePhaseShiftKeying)是一种常用的调制方式,它可以在相位和
  • 2023-08-06m基于FFT傅里叶变换的QPSK基带信号频偏估计和补偿算法FPGA实现,包含testbench和matlab星座图显示
    1.算法仿真效果本系统进行了Vivado2019.2平台的开发,并使用matlab2022a对结果进行星座图的显示:   将FPGA的频偏基带QPSK信号和频偏补偿后的QPSK基带信号使用matlab显示星座图,结果如下:   2.算法涉及理论知识概要       QPSK(QuadraturePhaseShiftKeying)
  • 2023-07-018.曲线、曲面
    1、贝塞尔曲线(Bezier)起始点为P0,t0表示P0处的切线终点为P3,t1表示P3处的切线P1和P2表示了t0,t1的切线长度问题:给定任意多个控制点,怎么画出贝塞尔曲线?a)二次贝塞尔曲线三个控制点,b0:起始点,b1:中间控制点,b2:终点在一段时间0~1之间,对于每一个t时间点,标出在b0b1和b1b2线段
  • 2023-06-27m基于FPGA的数据串并并串转换系统verilog实现,包含testbench,可以配置并行数量
    1.算法仿真效果 本系统进行了两个平台的开发,分别是: Vivado2019.2 Quartusii18.0+ModelSim-Altera6.6d StarterEdition 其中Vivado2019.2仿真结果如下: 分别进行2路,4路,8路,16路并行串行转换      Quartusii18.0+ModelSim-Altera6.6d Starter