首页 > 其他分享 >米尔FPGA核心板上市!国产紫光同创Logos-2核心板

米尔FPGA核心板上市!国产紫光同创Logos-2核心板

时间:2024-05-31 17:33:24浏览次数:16  
标签:Logos FPGA MYD 核心 开发板 MYC J2L100H 同创

随着嵌入式的快速发展,在工控、通信、5G通信领域,FPGA以其超灵活的可编程能力,被越来越多的工程师选择。近日,米尔电子发布2款FPGA的核心板和开发板,型号分别为:基于紫光同创Logos-2系列PG2L100H的MYC-J2L100H核心板及开发板、基于Xilinx Artix-7系列的MYC-J7A100T核心板及开发板。

 

 

国产FPGA开发平台紫光同创Logos-2

紫光同创Logos2系列国产FPGA芯片,第一款高性价比FPGA产品PG2L100H及其全套自主软件和IP方案,该系列芯片采用28nm CMOS工艺制程,相对于40nm工艺Logos-1系列FPGA性能提升50%,总功耗降低40%,是国产FPGA领域的重大突破。米尔核心板主要使用PG2L100H,PG2L100H其逻辑资源133200,一路PCIE接口,4对GTP高速串行接口,6个bank,一共有285个FPGA管脚。

紫光同创Logos2系列基础特性

基于紫光同创Logos-2打造的核心板

MYC-J2L100H核心板采用高密度高速电路板设计,在大小为69.6mm(L)×40mm(W)的板卡上集成了PG2L100H-6IFBG484、DDR3、EEPROM、QSPI FLASH、DC-DC电源管理等电路。MYC-J2L100H核心板采用金手指链接,满足5G通信、视频图像处理、工业自动化、消费电子等市场的应用需求。

 

MYC-J2L100H核心板

基于Xilinx Artix-7 XC7A100T核心板

基于Xilinx Artix-7™ 28nm FPGA芯片,配备了千兆以太网和高速DDR3 SDRAM,采用低成本、最小尺寸封装形式, XC7A100T具有触发器101440,一路PCIE接口,4对GTP高速串行接口,6个bank,一共有285个FPGA管脚。

 

Artix‐7系列基础特性

MYC-J7A100T核心板基于Xilinx Artix-7 FPGA芯片,采用高密度高速电路板设计,在大小为69.6mm(L)×40mm(W)的板卡上集成了XC7A100T-2FGG484I、DDR3、EEPROM、QSPI FLASH、DC-DC电源管理等电路,该款产品适用于工业控制、自动化、通信、计算机等领域。

 

MYC-J7A100T核心板

MYC-J2L100H核心板和MYC-J7A100T核心板,这两款FPGA核心板,经过一系列的软硬件测试,保障产品性能稳定关键信号质量测试、高低温测试、软件压力测试,24小时无故障运行,适应严苛工业环境。

 

 

配套开发板

MYD-J2L100H开发板/MYD-J7A100T开发板

MYD-J2L100H开发板,采用12V/2A直流供电,搭载了2路千兆以太网接口、2路SFP+接口、1路PCIE2.0、1路HDMI输入、1路HDMI输出、1路摄像头、1路Micro SD接口、1路USB-UART接口、1路FAN接口及一路扩展口。

 MYD-J2L100H开发板-正面标注图

  

MYD-J7A100T开发板-正面标注图

 

 

MYD-J2L100H开发板和MYD-J7A100T开发板背面标注图

标签:Logos,FPGA,MYD,核心,开发板,MYC,J2L100H,同创
From: https://www.cnblogs.com/cbd7788/p/18224967

相关文章

  • Stratix V FPGA突破带宽瓶颈,5SGXEA5N3F40I4G、5SGXEA5N2F40C3G、5SGXEA5N2F40I3LG降低
    概述StratixVFPGA的主要性能突破包括:集成66个28Gbps串行收发器(每通道功耗仅200mW)、提供1.6Tbps串行交换能力、提供12.5Gbps背板驱动和28Gbps芯片至芯片驱动能力、提供7组72位1600MbpsDDR3接口、以及提供1840GMACS或1000GFLOPS计算能力、业界第一款精度可变的DSP模块、53Mb......
  • Xilinx FPGA NVMe A4S Host Controller, 高性能NVMe A4S主机控制器IP
    NVMeA4SHostControllerIP1     介绍NVMeA4SHostControllerIP可以连接高速存储PCIeSSD,无需CPU和外部存储器,自动加速处理所有的NVMe协议命令,具备独立的数据写入AXI4-Stream/FIFO接口和数据读取AXI4-Stream/FIFO接口,适合于高性能、顺序访问的应用,比如视频记录、信号......
  • 全国产RK3568J + FPGA的PCIe、FSPI通信实测数据分享!
    测试数据汇总案例时钟频率理论速率测试结果FSPI通信案例150MHz71.53MB/s读速率:67.452MB/s写速率:52.638MB/sPCIe通信案例100MHz803.09MB/s读速率:595.24MB/s写速率:791.14MB/s备注:(1)当TLPheadersize=16Byte时,PCIe理论传输速率为:7......
  • 了解Stratix® V 5SGXA5 FPGA系列5SGXEA5H2F35I3G、5SGXEA5H2F35C3G、5SGXEA5H1F35C2G
    摘要StratixVFPGA具有1.6Tbps串行交换能力,采用各种创新技术和前沿28-nm工艺,突破带宽瓶颈,降低了宽带应用的成本和功耗。概述StratixVFPGA系列采用TSMC28nm高性能(HP)工艺进行制造,提供110万逻辑单元(LE)、53-Mbits嵌入式存储器、3,680个18x18乘法器,以及工作在业界最高速率......
  • 基于FPGA的计算器设计---第一版
    欢迎各位朋友关注“郝旭帅电子设计团队”,本篇为各位朋友介绍基于FPGA的计算器设计---第一版。功能说明: 1.计算器的显示屏幕为数码管。2.4x4矩阵键盘作为计算器的输入设备。3.计算任意两位正整数的加减乘除。4.当减法结果出现负数时(一个小的数字减去一个大的数字),数码管......
  • OFDM 802.11a的FPGA实现:发射部分的最终实现
    目录1.摘要2.最终实现的ModelSim仿真3.Matlab仿真和MoselSim仿真进行对比4.完整工程1.摘要本系统在Xilinx的zynq7000系列FPGA芯片上实现了一个基于IEEE802.11a协议的OFDM基带处理发射机的功能。本系统包含了整个发射机的所有功能,包括序列训练符号、Siganl符号和Data......
  • 基于FPGA的函数信号发生器设计
    本科时期的一个课设,现在将他分享出来,写了很详细的文章,可以直接拿去使用:设计采用波形查找表和相位累加器的方法实现DDS,查找表的数据位宽为8位,采样点数为4096。波形产生范围是100Hz-20MHz,最小频率间隔为1Hz,用8个数码管显示频率,可产生正弦波、方波、三角波,波形用两个led显示,select......
  • 突破带宽瓶颈,5SGSMD4E1H29C2G、5SGSMD4E1H29I2G、5SGSMD4E3H29I3G Stratix® V GS FPG
    StratixVGSFPGA——600-Mbps至12.5-Gbps收发器,适用于高性能数字信号处理(DSP)应用。中文参数:5SGSMD4E1H29C2GLAB/CLB数:135840逻辑元件/单元数:360000总RAM位数:19456000I/O数:360电压-供电:0.87V~0.93V安装类型:表面贴装型工作温度:0°C~85°C(TJ)封装/外壳:780-BBGA,FCBGA......
  • FPGA复位:(35)复位移除方法?
    1.1复位移除方法?1.1.1本节目录1)本节目录;2)本节引言;3)FPGA简介;4)复位移除方法?5)结束语。1.1.2本节引言“不积跬步,无以至千里;不积小流,无以成江海。就是说:不积累一步半步的行程,就没有办法达到千里之远;不积累细小的流水,就没有办法汇成江河大海。1.1.3FPGA简介FPGA(FieldP......
  • FPGA复位:(33)同步复位优势?
    1.1同步复位优势?1.1.1本节目录1)本节目录;2)本节引言;3)FPGA简介;4)同步复位优势?5)结束语。1.1.2本节引言“不积跬步,无以至千里;不积小流,无以成江海。就是说:不积累一步半步的行程,就没有办法达到千里之远;不积累细小的流水,就没有办法汇成江河大海。1.1.3FPGA简介FPGA(FieldP......