首页 > 其他分享 >Verilog实现基于状态机的序列检测

Verilog实现基于状态机的序列检测

时间:2023-01-13 22:47:14浏览次数:59  
标签:状态 检测 并行 状态机 Verilog 序列

一、状态机的基本概念

  1. 硬件设计需要并行设计思想,而用Verilog描述的电路大多都是并行实现的,但是对于实际的项目工程,往往需要让硬件来做一些具有顺序的工作,这就要用到状态机的思想。状态机简单的来说就是通过不同的状态迁移来完成一些特定的顺序逻辑

  2. 构成状态机的基本要素:

    • 输入:引发状态变化的条件
    • 输出:状态变化后引起的变化
    • 状态:S0、S1、S2、S3

    以博主 https://www.cnblogs.com/zqh1126/p/16992755.html “浅谈Moore型和Mealy型以及序列检测状态图” 中的序列检测图为例。
    image

标签:状态,检测,并行,状态机,Verilog,序列
From: https://www.cnblogs.com/zqh1126/p/17050745.html

相关文章