首页 > 其他分享 >【总线】AXI4第一课时:揭秘AXI4总线的五大独立通道

【总线】AXI4第一课时:揭秘AXI4总线的五大独立通道

时间:2024-06-13 19:00:23浏览次数:10  
标签:总线 课时 命名 地址 AXI4 AXI 通道

目录

AXI4总线简介

五大独立通道概览

通道的命名规则

通道的用途

为什么需要五个通道?

结语


        大家好,欢迎来到今天的总线学习时间!如果你对电子设计、特别是FPGA和SoC设计感兴趣,那你绝对不能错过我们今天的主角——AXI4总线。作为ARM公司AMBA总线家族中的佼佼者,AXI4以其高性能和高度可扩展性,成为了现代电子系统中不可或缺的通信桥梁。今天,我们将开启一段探索之旅,深入了解AXI4总线的五大独立通道。

        这里会有一系列的课程,和大家分享AMBA总线家族,欢迎大家一起学习,收藏点赞。

 系列文章

【总线】AMBA总线架构的发展历程-CSDN博客

【总线】设计fpga系统时,为什么要使用总线?-CSDN博客

【总线】AMBA总线家族的明星成员:AXI协议简介-CSDN博客

【总线】AXI4第一课时:揭秘AXI4总线的五大独立通道-CSDN博客 


        如下图,是用xilinx FPGA做的一个基于FDMA和AXI总线的DDR读写工程,这里AXI是总线,在xilinx Block Design中用一根粗线表示,点击总线左右两端的+号可以展开看到AXI总线的所有信号。

        接下来我会分几个课时来逐步和大家一起学习AXI总线~

        展开AXI总线

AXI4总线简介

        在深入探讨之前,让我们先简单了解一下AXI4总线。AXI4是Advanced eXtensible Interface的缩写,它是一种用于系统级芯片(SoC)的高级、可扩展的接口协议,专为满足高性能电子系统的需求而设计。

五大独立通道概览

        AXI4总线的设计哲学是将数据传输的不同方面分离开来,以实现更高的效率和灵活性。这种分离是通过五个独立的通道来实现的,每个通道都有其独特的命名和用途:

  1. 读地址通道(Read Address Channel)
    • 命名:通常以AR(Address Read)表示。
    • 用途:负责传输读操作的地址信息。当处理器或其他主设备需要从内存或其他从设备读取数据时,它会通过这个通道发送地址请求。

  1. 写地址通道(Write Address Channel)
    • 命名:通常以AW(Address Write)表示。
    • 用途:负责传输写操作的地址信息。在数据写入过程中,主设备通过这个通道发送目标地址和相关控制信息。

  1. 读数据通道(Read Data Channel)
    • 命名:通常以R表示。
    • 用途:负责传输从设备返回给发起读操作的主设备的数据。这个通道是数据读取操作的响应路径。

  1. 写数据通道(Write Data Channel)
    • 命名:通常以W表示。
    • 用途:负责传输主设备发送给从设备的实际数据。在写操作中,数据通过这个通道从主设备传输到目标从设备。

  1. 响应通道(Response Channel)
    • 命名:通常以B表示。
    • 用途:负责传输写操作完成后的响应信息。从设备通过这个通道向主设备发送操作成功或错误的信号。

通道的命名规则

        AXI4总线的通道命名规则直观且具有逻辑性。每个通道的名称都以它在数据传输过程中的作用来命名,如地址(Address)和数据(Data)的首字母,以及操作类型(读或写)。

通道的用途

        每个通道的用途都与数据传输的特定阶段相对应:

  • 地址通道:发起读写请求。
  • 数据通道:承载数据的实际传输。
  • 响应通道:提供操作完成的反馈。

为什么需要五个通道?

        这种多通道的设计允许AXI4总线支持复杂的事务处理,如乱序执行和流水线操作,这对于高性能计算和实时系统至关重要。

结语

        在这一课时中,我们揭开了AXI4总线五大独立通道的神秘面纱。我们了解到每个通道的命名规则和它们在数据传输过程中的关键作用。在下一课时,我们将深入探讨这些通道的工作原理和它们如何协同工作,以实现高效的数据交换。

        同学们,现在我们对AXI4总线有了初步的认识。请大家思考一下,为什么我们需要将地址和数据传输分开处理?这样的设计带来了哪些好处?带着这些问题,我们下次课再见。

标签:总线,课时,命名,地址,AXI4,AXI,通道
From: https://blog.csdn.net/sqqwm/article/details/139660582

相关文章

  • 【总线】AMBA总线架构的发展历程
    目录引言发展历程第一代AMBA(AMBA1)第二代AMBA(AMBA2)第三代AMBA(AMBA3)第四代AMBA(AMBA4)第五代AMBA(AMBA5)AMBA协议简介ASB(AdvancedSystemBus)APB(AdvancedPeripheralBus)AHB(AMBAHigh-performanceBus)AXI(AdvancedeXtensibleInterface)AHB-LiteAXI4AXI4-LiteAXI......
  • 【总线】AMBA总线家族的明星成员:AXI协议简介
    目录AMBA总线家族---通信的基石AXI总线---AMBA家族中的高速公路深入浅出AXI---从基础到进阶基础概念进阶知识AXI总线的用途结语系列文章【总线】AMBA总线架构的发展历程-CSDN博客【总线】设计fpga系统时,为什么要使用总线?-CSDN博客【总线】AMBA总线家族的明星成员:AX......
  • 如何在Vue3中使用事件总线实现跨组件通信?
    在复杂的前端开发中,组件之间的通信是必不可少的环节。而在Vue3中,事件总线(EventBus)是一种方便且高效的实现跨组件通信的方法。本文将详细介绍如何在Vue3项目中使用事件总线来实现跨组件通信,并提供实际示例代码,帮助你更好地掌握这一技能。什么是事件总线?事件总线(EventBus)......
  • 隐式的总线仲裁
    这个方法可以用到状态机跳转的仲裁里;可以节约非常多的时钟周期!1.4.2PCI总线仲裁由图1‑2可知,当今的PCI设备基本都能作为总线主设备(BusMasterdevice),所以它们都可以进行DMA与peer-to-peer的数据传输。在像PCI这种共享总线的体系结构中,各设备需要轮流占用总线,因此当一个设......
  • Vue事件总线
    constlisteners={};exportdefault{//监听某一个事件$on(eventName,handler){if(!listeners[eventName]){listeners[eventName]=newSet();}listeners[eventName].add(handler);},//取消监听$off(e......
  • 《计算机网络微课堂》实验3 总线型以太网的特性(广播,竞争总线,冲突)
    本实验的内容主要是为了验证总线型以太网的特性,例如广播特性,例如各组机对总线的竞争使用以及可能产生的碰撞。首先拖动三台计算机到逻辑工作空间,我们使用集线器让它们互联起来,使用自动连线,将每台计算机连接到集线器上。网络拓扑从表面上看是个星型的结构,但它实际上是一个总线型的......
  • 现场总线与工业以太网概述
    一、引言随着工业自动化水平的不断提高,现场总线与工业以太网技术在现代工业生产中扮演着越来越重要的角色。它们为工业自动化系统提供了高效、可靠的数据传输手段,实现了设备之间的信息共享和互操作。本文将对现场总线与工业以太网进行概述,探讨它们的特点、应用及发展趋势。二......
  • 总线系统:连接计算机组件的关键
    目录介绍概念和结构形态总线系统的分类和扩展总线接口并行接口串行接口接口类型比较总线仲裁集中式仲裁分布式仲裁混合式仲裁总线仲裁示例仲裁方法的比较总线的定时和数据传送模式定时信号数据传送模式总线系统的定时和数据传送模式比较实例分析PCI和PCI......
  • AXI4+DDR学习
    我用的小梅哥的7010的开发板,这个板子无法直接在PL这边使用DDR存储,必须通过AXI4总线。HighPerformamcePORTS就是HP接口,为AXI接口,通常用于大量数据的高速传输。AXI总线介绍  AXI是基于burst的传输,burst传输是一种适用于AMBA协议的规则形式,通过这种规则,我们可以控制AMBA进行......
  • Zynq学习笔记--AXI4-Stream 图像数据从仿真输出到图像文件
    目录1.简介2.构建工程2.1Vivado工程2.2TestBench代码2.3关键代码分析3. VPG BackgroundPatternID(0x0020)Register4.总结1.简介使用SystemVerilog将AXI4-Stream图像数据从仿真输出到图像文件(PPM)。用到的函数包括$fopen、$fwrite和$fclose......