首页 > 其他分享 >Stratix® V FPGA系列:5SGXEBBR3H43I3G、5SGXEBBR1H43C2G、5SGXEBBR2H43I2G为带宽应用而打造,降低了系统成本和功耗

Stratix® V FPGA系列:5SGXEBBR3H43I3G、5SGXEBBR1H43C2G、5SGXEBBR2H43I2G为带宽应用而打造,降低了系统成本和功耗

时间:2024-06-04 15:11:15浏览次数:20  
标签:封装 FPGA 5SGXEBBR2H43I2G 5SGXEBBR1H43C2G 收发器 功耗 Stratix 1760

Stratix V系列

Stratix V FPGA采用新的存储器体系结构,降低延时,高效实现FPGA业界最好的系统性能。Stratix V FPGA为网络设备生产商提供存储器接口解决方案,支持在互联网上迅速有效的传送视频、语音和数据。

Stratix V FPGA的主要性能突破包括:集成66个28Gbps串行收发器(每通道功耗仅200mW)、提供1.6Tbps串行交换能力、提供12.5Gbps背板驱动和28Gbps芯片至芯片驱动能力、提供7组72位 1600Mbps DDR3接口、以及提供1840 GMACS或1000 GFLOPS计算能力、业界第一款精度可变的DSP模块、53Mb嵌入式存储器、在FPGA上高度集成的硬核IP(包括PCIe接口)。

Stratix V采用TSMC高性能28nm HKMG工艺制造,该工艺提供的性能比其它28nm工艺高出35%,这使得它可提供速度最快、功效最高的收发器。这一工艺也使得Stratix V的系统总功耗比前一代Stratix IV低30%。

Stratix V系列FPGA将包括四种型号产品,以更好地满足无线/固网通信、广播、军事、计算机和存储、以及测试和医疗市场的多种针对性应用需求。它们包括:1)Stratix V GT FPGA,业界唯一面向100G以上系统,并集成28Gbps收发器的FPGA;2)Stratix V GX FPGA,支持多种应用的600Mbps至12.5Gbps收发器;3)Stratix V GS FPGA,提供600Mbps至12.5Gbps收发器,适用于高性能DSP应用;4)Stratix V E FPGA,适用于ASIC原形开发和仿真以及高性能计算应用的高密度FPGA。

明佳达 —— Stratix® V FPGA系列:5SGXEBBR3H43I3G、5SGXEBBR1H43C2G、5SGXEBBR2H43I2G为带宽应用而打造,降低了系统成本和功耗

1、5SGXEBBR3H43I3G

LAB/CLB 数:359200
逻辑元件/单元数:952000
总 RAM 位数:53248000
I/O 数:600
电压 - 供电:0.82V ~ 0.88V
安装类型:表面贴装型
工作温度:-40°C ~ 100°C(TJ)
封装/外壳:1760-BBGA,FCBGA
供应商器件封装:1760-HBGA(45x45)

2、5SGXEBBR1H43C2G

LAB/CLB 数:359200
逻辑元件/单元数:952000
总 RAM 位数:53248000
I/O 数:600
电压 - 供电:0.87V ~ 0.93V
安装类型:表面贴装型
工作温度:0°C ~ 85°C(TJ)
封装/外壳:1760-BBGA,FCBGA
供应商器件封装:1760-HBGA(45x45)

3、5SGXEBBR2H43I2G

LAB/CLB 数:359200
逻辑元件/单元数:952000
总 RAM 位数:53248000
I/O 数:600
电压 - 供电:0.87V ~ 0.93V
安装类型:表面贴装型
工作温度:-40°C ~ 100°C(TJ)
封装/外壳:1760-BBGA,FCBGA
供应商器件封装:1760-HBGA(45x45)

Stratix® V 5SGXBB FPGA系列器件:
5SGXEBBR2H43C3G
5SGXEBBR3H43C2G
5SGXEBBR1H43I2G
5SGXEBBR3H43C3G
5SGXEBBR2H43I2G
5SGXEBBR1H43C2G
5SGXEBBR3H43I3G
5SGXEBBR2H43C2G
5SGXEBBR2H43I3G
5SGXEBBR3H43I4G
5SGXEBBR3H43C4G

Stratix V GX FPGA芯片提供340K逻辑单元和集成最大12.5 Gbps传输速度的收发器,允许TR5-F40W完全符合SATA 3.0标准, PCIE 3.0标准, 同时,直接连通4个外部超低延迟的10G SFP+模块,不需要依靠外部的PHY, 将加速网络应用程序的主流开发,使客户更广泛地为高速连接应用程序部署设计。

注:本文部分内容与图片来源于网络,版权归原作者所有。如有侵权,请联系删除!

标签:封装,FPGA,5SGXEBBR2H43I2G,5SGXEBBR1H43C2G,收发器,功耗,Stratix,1760
From: https://www.cnblogs.com/mingjiada/p/18230798

相关文章

  • FPGA/ZYNQ:生成3x3矩阵
    一、简述在对图像进行处理时经常用到矩阵操作,包括sobel边缘检测、中值滤波、形态学等。本篇博客介绍一下用两个RAM生成3x3矩阵的方法。二、实现方法1.设计line_shift模块用于对三行数据进行寄存;2.设计一个产生3x3矩阵的模块。三、实现过程1.line_shift_RAM_24bit通过时钟......
  • 突破带宽瓶颈,5SGXEB6R3F43C3G、5SGXEB6R1F43C1G、5SGXEB6R2F43I3G、5SGXEB6R3F43C2G F
    StratixVFPGA的主要性能突破包括:集成66个28Gbps串行收发器(每通道功耗仅200mW)、提供1.6Tbps串行交换能力、提供12.5Gbps背板驱动和28Gbps芯片至芯片驱动能力、提供7组72位1600MbpsDDR3接口、以及提供1840GMACS或1000GFLOPS计算能力、业界第一款精度可变的DSP模块、53Mb嵌入式......
  • FPGA复位专题---(42)复位信号高扇出?
     (42)复位信号高扇出?1目录(a)FPGA简介(b)Verilog简介(c)复位简介(d)复位信号高扇出?(e)结束1FPGA简介(a)FPGA(FieldProgrammableGateArray)是在PAL(可编程阵列逻辑)、GAL(通用阵列逻辑)等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现......
  • RGMII接口--->(007)FPGA实现RGMII接口(七)
     (007)FPGA实现RGMII接口(七)1目录(a)FPGA简介(b)IC简介(c)Verilog简介(d)FPGA实现RGMII接口(七)(e)结束1FPGA简介(a)FPGA(FieldProgrammableGateArray)是在PAL(可编程阵列逻辑)、GAL(通用阵列逻辑)等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定......
  • (中文参数)可编程逻辑IC 5SGXEB6R2F40I2G、5SGXEB6R2F40I3G、5SGXEB6R3F40I3G、5SGXEB6R
    概述StratixV是业内第一款可提供精度可变DSP模块的FPGA,这使得它可提供业内效率最高、性能最好的多精度DSP数据通路和功能,如FFT、FIR和浮点DSP。StratixVFPGA具有1.6Tbps串行交换能力,采用各种创新技术和前沿28-nm工艺,突破带宽瓶颈,降低了宽带应用的成本和功耗。StratixVFP......
  • FPGA图像处理--CLAHE算法(一)
    FPGA交流群:838607138本文首发于公众号:FPGA开源工坊在介绍CLAHE算法之前必须要先提一下直方图均衡化,直方图均衡化算法是一种常见的图像增强算法,可以让像素的亮度分配的更加均匀从而获得一个比较好的观察效果。如下图就是经过直方图均衡化后的效果图。importcv2importnumpya......
  • 米尔FPGA核心板上市!国产紫光同创Logos-2核心板
    随着嵌入式的快速发展,在工控、通信、5G通信领域,FPGA以其超灵活的可编程能力,被越来越多的工程师选择。近日,米尔电子发布2款FPGA的核心板和开发板,型号分别为:基于紫光同创Logos-2系列PG2L100H的MYC-J2L100H核心板及开发板、基于XilinxArtix-7系列的MYC-J7A100T核心板及开发板。 ......
  • Stratix V FPGA突破带宽瓶颈,5SGXEA5N3F40I4G、5SGXEA5N2F40C3G、5SGXEA5N2F40I3LG降低
    概述StratixVFPGA的主要性能突破包括:集成66个28Gbps串行收发器(每通道功耗仅200mW)、提供1.6Tbps串行交换能力、提供12.5Gbps背板驱动和28Gbps芯片至芯片驱动能力、提供7组72位1600MbpsDDR3接口、以及提供1840GMACS或1000GFLOPS计算能力、业界第一款精度可变的DSP模块、53Mb......
  • Xilinx FPGA NVMe A4S Host Controller, 高性能NVMe A4S主机控制器IP
    NVMeA4SHostControllerIP1     介绍NVMeA4SHostControllerIP可以连接高速存储PCIeSSD,无需CPU和外部存储器,自动加速处理所有的NVMe协议命令,具备独立的数据写入AXI4-Stream/FIFO接口和数据读取AXI4-Stream/FIFO接口,适合于高性能、顺序访问的应用,比如视频记录、信号......
  • 全国产RK3568J + FPGA的PCIe、FSPI通信实测数据分享!
    测试数据汇总案例时钟频率理论速率测试结果FSPI通信案例150MHz71.53MB/s读速率:67.452MB/s写速率:52.638MB/sPCIe通信案例100MHz803.09MB/s读速率:595.24MB/s写速率:791.14MB/s备注:(1)当TLPheadersize=16Byte时,PCIe理论传输速率为:7......