首页 > 其他分享 >SystemVerilog -- 3.6 SystemVerilog 'break' and 'continue'

SystemVerilog -- 3.6 SystemVerilog 'break' and 'continue'

时间:2024-05-05 20:00:18浏览次数:12  
标签:break begin end -- Iteration 3.6 ncsim SystemVerilog

break

module tb;
  initial begin
    // This for loop increments i from 0 to 9 and exit
    for (int i = 0; i < 10; i++) begin
      $display ("Iteration [%0d]", i);

      // Let's create a condition such that the for loop exits when i becomes i becomes 7
      if (i == 7)
        break;
    end
  end
endmodule

模拟日志

ncsim> run
Iteration [0]
Iteration [1]
Iteration [2]
Iteration [3]
Iteration [4]
Iteration [5]
Iteration [6]
Iteration [7]
ncsim: *W,RNQUIE: Simulation is complete.

continue

module tb;
  initial begin
    // This for loop increments i from 0 to 9 and exit
    for (int i = 0; i < 10; i++) begin
      // Let's create a condition such that the for loop
      if (i == 7)
        continue;
        $display ("Iteration [%0d]", i);
    end
  end
endmodule

模拟日志

ncsim> run
Iteration [0]
Iteration [1]
Iteration [2]
Iteration [3]
Iteration [4]
Iteration [5]
Iteration [6]
Iteration [8]
Iteration [9]
ncsim: *W,RNQUIE: Simulation is complete.

标签:break,begin,end,--,Iteration,3.6,ncsim,SystemVerilog
From: https://www.cnblogs.com/sys-123456/p/18173802

相关文章

  • 构建之法10
    《构建之法》是一本关于软件工程方法和实践的书籍,第十章的内容在我看来是整本书中的一大亮点,它聚焦在软件项目的管理与团队协作上。读后,我深感软件项目的成功不仅仅依赖于技术的精湛,更在于团队之间的有效协作和项目管理的合理性。首先,我被书中关于软件项目管理重要性的论述所触动......
  • 网络流学习笔记
    1.概述网络指的是一类特殊的有向图G=(V,E),与一般有向图不同的是有容量和源汇点对于网络G=(V,E),流是一个从边集E到整数集或实数集的函数,满足如下性质容量限制:对于每条边,该边流经的流量不得超过该边的容量流守恒性:除源汇点外,其余任何点的净流量为0,其中,我们定义节点u的净流......
  • SystemVerilog -- 3.5 SystemVerilog repeat
    一组给定的语句可以使用构造执行N次。repeatSyntaxrepeat(<number>)//SingleStatementrepeat(<number>)begin//MultipleStatementsendExample#1moduletb;initialbeginrepeat(5)begin$display("Repeatthisstatement");e......
  • NVIDIA的ROS项目 —— Isaac ROS
    文档地址:https://nvidia-isaac-ros.github.io/index.htmlGithub地址:https://github.com/NVIDIA-ISAAC-ROS......
  • qoj1138 Counting Mushrooms
    交互题。有一个隐藏的01序列\(a\),你只知道\(a\)的长度,并记为\(n\)。保证\(a_1=0\)。你可以执行以下操作:询问一个序列\(b\),满足两两不同且长度在\([2,1000]\)之间。交互库会返回\(\sum[a(b_i)\not=a(b_{i+1})]\)。请在\(226\)次操作内求出\(a\)中\(0\)......
  • 2024 年12个好用的开源 Wiki 软件工具盘点
    在任何成功的公司中,部门间的知识共享是至关重要的。如果没有一个简单的信息交流方法,团队怎样才能有效合作呢?Wiki软件提供了一种创建、组织及在全公司范围内分享知识的直接方法。但是,哪一种Wiki软件是最佳的选择呢?本文将深入讨论这个问题。什么是Wiki软件Wiki是一个集中式的、基......
  • 讯飞听见软件介绍
    讯飞听见是一款智能语音转文字软件,具有以下功能:•实时语音转文字:支持录音实时转文字,准确率较高,且能自动区分角色和分段。•实时翻译:提供8国语种随时互译。•边录边拍:可以在录音的同时拍照记录,方便重点标记。•悬浮字幕:支持在观看视频时实时显示悬浮字幕,提供无障碍观影体......
  • Less11基于post提交的单引号闭合的字符型注入
    Less11基于post提交的单引号闭合的字符型注入一、手工注入1.判断注入点判断注入类型是否为数字型1or1=11or1=2发现页面显示相同,因此不是数字型判断注入类型是否为字符型1'or1=1#1'or1=2#发现1=2页面异常报错,1=1正常。所以是单引号字符型闭合。2.爆破字段......
  • SystemVerilog -- 3.4 SystemVerilog forever loop
    SystemVerilogforeverloop循环永远运行,或者无限时间运行。foreverSyntaxforever//Singlestatementforeverbegin//Multiplestatementsend循环类似于下面Verilog中所示的代码。两者都运行无限的仿真时间,并且在它们内部有一个延迟元件很重要。foreverAn......
  • C. Game on Permutation
    链接:https://codeforces.com/problemset/problem/1860/C洛谷链接:https://www.luogu.com.cn/problem/CF1860C相关知识点复习:LIS最长上升子序列链接:https://blog.csdn.net/lxt_Lucia/article/details/81206439关键:这题的思路在于找到LIS长度为2的点,比如13254那么显然3,2是......