首页 > 其他分享 >《Kubernetes Operator 开发进阶》- 作者絮絮叨

《Kubernetes Operator 开发进阶》- 作者絮絮叨

时间:2023-01-05 10:38:34浏览次数:52  
标签:本书 原生 进阶 Kubernetes 源码 应用 Operator

今天聊啥

昨晚在京东上试着搜索关键字“Kubernetes Operator 开发进阶”,看到我的书终于上架了,百感交集。

(没有老泪纵横。你以为是我没有眼眶湿润?不,我说的是我没有老!)

这本书花费了我大约半年的心血。半年,没有周末,没有假期。去年的除夕和大年初一都没有休息,每天三小时,赶稿子。

今天,严肃认真地介绍下这本书吧!

严肃!严肃。严肃,算了,严肃不起来。还是随便唠唠吧。

咱就唠这么几个角度:

  • 本书读者
  • 推荐序
  • 推荐语
  • 本书简介
  • 本书前言
  • 封面由来
  • 目录概览
  • 历史故事
  • 送书活动

没错,是要送书的,如果这是你唯一关心的“点”,那就直接翻到结尾吧!

本书读者

本书适合这么几类读者:

  1. 显示器太低,又不能伸缩,需要一本书垫一垫的;
  2. 喜欢吃泡面,但是没有锅,需要一本书盖一盖的;
  3. ……

总结:本书用来垫显示器和盖泡面那是一绝!

(不配图了,我不吃泡面,我的显示器也可以伸缩,哇咔咔)


《江城》(豆瓣9.1分)的作者彼得·海斯勒在书中写到这样一段话:

至于《江城》,我也觉得糟糕透顶。这本书似乎一文不值 ——不过小孩子的写作水平而已。我完全无所适从,也想不起我在书里表达了什么样的观点。后来我才知道,很多作家在完成了高强度的写作之后,都有过类似的崩溃体验,就好似十月怀胎的妈妈们一朝分娩,接着遭受产后抑郁的折磨。

此刻我其实也是“内心奔溃”的,我觉得我这本《Kubernetes Operator 开发进阶》一文不值。

爱因斯坦在不同的角度有过类似的表述:

用一个大圆圈代表我所学的知识,但是圆圈之外有那么多空白,对我来说意味着无知,而且圆圈越大,它的圆周就越大,它与外界空白的接触面也就越大。

所以在写这本书的过程中,我其实也在经历着这样的心路历程:

“我感觉我懂一些东西了,可以写一本书。” -> “我才懂一点皮毛,谁给我的勇气写这本书?”

所以在这里我不想去说这本书可以帮助哪些人学到多少知识达到什么地步之类的话。

标签:本书,原生,进阶,Kubernetes,源码,应用,Operator
From: https://blog.51cto.com/htcloud/5989472

相关文章

  • 详解kubernetes五种暴露服务的方式
    部署完服务终将是为了访问,那么kubernetes中service和ingress都可以将集群内部的服务能够支持外部访问。service可以让一组Pod(称为“后端”)为集群内的其他Pod(称为“前端”......
  • Netty进阶
    1.Netty问题TCP协议都存在着黏包和半包问题,但是UDP没有1.粘包现象发送方分10次发送,接收方一次接受了10次发送的消息2.半包现象调整服务器的接受缓冲区大小(调小)半包......
  • 牛客进阶题目5:信号发生器
    这个题目有点离谱,题里什么也没给,需要去题解中才知道方波、锯齿波和三角波最大值都为20,方波周期20,锯齿波周期21,三角波周期40对三种波形具体分析方波:周期为20且最大值也为2......
  • 牛客进阶题目4:输入序列不连续的序列检测
    跟上一题基本类似,多了个valid判定当前输入数据是否有效`timescale1ns/1nsmodulesequence_detect( inputclk, inputrst_n, inputdata, inputdata_valid, outpu......
  • 云原生周刊 | 人类、机器人与 Kubernetes
    近日Grafana官网发表了一篇博客介绍了2022年比较有意思、脑洞大开的一些Grafana使用案例,比如监控特斯拉Model3的充电状态、OTA更新状况等等。海事技术供应商R......
  • 牛客进阶题目3:不重叠序列检测
    还是移位寄存器,加一个计数器来限制周期题目要求状态机,懒得画了,移位寄存器可根据时序图直接写`timescale1ns/1nsmodulesequence_detect( inputclk, inputrst_n, i......
  • 牛客进阶题目2:含有无关项的序列检测
    跟上一题类似这里有人可能会用到casex,最好别用,有的工具可能不支持`timescale1ns/1nsmodulesequence_detect( inputclk, inputrst_n, inputa, outputregmatch......
  • 牛客进阶题目1:输入序列连续检测
    检测01110001序列,满足序列则拉高match可以用状态机和移位寄存器,懒得画状态转移图,直接用移位寄存器解注意题中match在检测到序列后的下一周期拉高,所以需要延一拍`timesca......
  • kubernetes简单使用教程(一)
    一、命名空间作用:用来隔离资源添加删除命名空间[root@k8s-master01~]#kubectlcreatenshellonamespace/hellocreated[root@k8s-master01~]#kubectlgetnsNAME......
  • C++进阶(智能指针)
    智能指针原理C++程序设计中使用堆内存是非常频繁的操作,堆内存的申请和释放都由程序员自己管理。程序员自己管理堆内存可以提高了程序的效率,但是整体来说堆内存的管理是麻......