首页 > 其他分享 >牛客进阶题目2:含有无关项的序列检测

牛客进阶题目2:含有无关项的序列检测

时间:2023-01-03 22:22:07浏览次数:33  
标签:题目 进阶 temp 1ns clk 牛客 rst input

跟上一题类似

这里有人可能会用到casex,最好别用,有的工具可能不支持

`timescale 1ns/1ns
module sequence_detect(
	input clk,
	input rst_n,
	input a,
	output reg match
	);

reg [8:0] temp ;
always @(posedge clk or negedge rst_n) begin
	if(!rst_n)
		temp <= 'd0 ;
	else
		temp <= {temp[7:0],a};
end
always @(posedge clk or negedge rst_n) begin
	if(!rst_n) 
		match <= 1'b0 ;
	else if(temp[2:0]==3'b110 && temp[8:6] == 3'b011)
		match <= 1'b1 ;
	else
		match <= 1'b0 ;
end
	
endmodule

标签:题目,进阶,temp,1ns,clk,牛客,rst,input
From: https://www.cnblogs.com/icwangpu/p/17023533.html

相关文章

  • 牛客进阶题目1:输入序列连续检测
    检测01110001序列,满足序列则拉高match可以用状态机和移位寄存器,懒得画状态转移图,直接用移位寄存器解注意题中match在检测到序列后的下一周期拉高,所以需要延一拍`timesca......
  • 《软件方法》书中自测题-题目全文+分卷自测(1-8章)16套111题
    已经根据最新版本内容更新了在线题库!以下是《软件方法》1-8章中的自测题,答案不直接给出,可访问每套题后面的自测链接或扫二维码自测,做到全对才能知道答案。知识点见《软件方......
  • UMLChina建模竞赛题大全-题目全文+分卷自测(1-4)
    以下是UMLChina出过的建模竞赛题,答案不直接给出,可访问每套题后面的自测链接或扫二维码自测,做到全对才能知道答案。知识点见《软件方法》和“软件需求设计方法学全程实例剖析......
  • C++进阶(智能指针)
    智能指针原理C++程序设计中使用堆内存是非常频繁的操作,堆内存的申请和释放都由程序员自己管理。程序员自己管理堆内存可以提高了程序的效率,但是整体来说堆内存的管理是麻......
  • 算法竞赛进阶指南 0x43 线段树
    文章目录​​线段树简介​​​​线段树的简单代码实现​​​​建树代码​​​​修改操作​​​​查询操作​​​​线段树的查询操作的时间复杂度分析:​​​​[AcWing245.你......
  • 新建 Microsoft Office Word 文档 来源:牛客网
    题目链接:https://ac.nowcoder.com/acm/contest/28886/1015时间限制:C/C++1秒,其他语言2秒空间限制:C/C++32768K,其他语言65536K64bitIOFormat:%lld题目描述CSL正在学习......
  • 经商 来源:牛客网
    题目链接:https://ac.nowcoder.com/acm/contest/28886/1022时间限制:C/C++1秒,其他语言2秒空间限制:C/C++32768K,其他语言65536K64bitIOFormat:%lld题目描述小d是一个搞......
  • 音视频开发进阶|第七讲:分辨率与帧率·上篇
     在视频系列的前几篇推文中,我们已经接触了视频相关的不少概念,它们都是围绕着几个核心角色:色彩、像素、图像和视频来展开的。这几个核心角色之间的关系,大家应该都有了基本......
  • 音视频开发进阶|第七讲:分辨率与帧率·上篇
    在视频系列的前几篇推文中,我们已经接触了视频相关的不少概念,它们都是围绕着几个核心角色:色彩、像素、图像和视频来展开的。这几个核心角色之间的关系,大家应该都有了基本的......
  • 牛客寒假算法基础集训营4-J-Applese 的减肥计划
    链接:​​https://ac.nowcoder.com/acm/contest/330/J​​牛客网 已知Applese两只手分别产生的力的大小,以及它们之间的夹角,试求两力合力的大小。输入描述:仅一行三个整......