首页 > 编程语言 >m基于Lorenz混沌自同步的混沌数字保密通信系统的FPGA实现,verilog编程实现+MATLAB混沌验证程序

m基于Lorenz混沌自同步的混沌数字保密通信系统的FPGA实现,verilog编程实现+MATLAB混沌验证程序

时间:2023-01-04 12:03:38浏览次数:48  
标签:subplot plot FPGA title 混沌 Lorenz zeros 模块

1.算法概述 本系统的基本结构框图如下所示:

1.png

系统顶层文件

——加密调制模块

————加密子模块,lorenz混沌序列产生模块,组帧模块,并串模块。

——解密解调模块

————解密子模块,Lorenz混沌序列产生模块,搜帧模块,串并模块。

其顶层的文件的管脚为:

2.png

2.仿真效果预览 matlab2022a,Quartus II 10.0+ModelSim-Altera 6.6d Starter Edition仿真结果如下:

3.png6.png4.png5.png

3.MATLAB部分代码预览

N = 40000;
 
x = zeros(N,1);
y = zeros(N,1);
z = zeros(N,1);
 
x(1) = 0.001;
y(1) = 0.002;
z(1) = 0.02;
 
for n = 1:N-1
    n
    
    y(n+1) = 0.028*x(n)      - 0.001*x(n)*z(n) + 0.999*y(n);    
    
    x(n+1) = 0.99*x(n)       + 0.01*y(n);
    z(n+1) = 0.001*x(n)*y(n) + 0.9973333*z(n);   
 
end
 
figure;
subplot(221);plot(x,y);title('x-y');
subplot(222);plot(x,z);title('x-z');
subplot(223);plot(y,z);title('y-z');
subplot(224);plot3(x,y,z);title('x-y-z');
 
figure;
subplot(311);plot(x);title('x');
subplot(312);plot(y);title('y');
subplot(313);plot(z);title('z');
01_053_m

标签:subplot,plot,FPGA,title,混沌,Lorenz,zeros,模块
From: https://blog.51cto.com/matworld/5988082

相关文章

  • m基于Lorenz混沌自同步的混沌数字保密通信系统的FPGA实现,verilog编程实现+MATLAB混沌
    1.算法概述    本系统的基本结构框图如下所示:    系统顶层文件 ——加密调制模块 ————加密子模块,lorenz混沌序列产生模块,组帧模块,并串模块。 ......
  • 基于FPGA的HDB3编译码verilog实现,结合MATLAB进行辅助验证
    目录一、理论基础二、核心程序三、测试结果一、理论基础HDB3码(三阶高密度双极性码)是串行数据传输的一种重要编码方式,和最常用的NRZ码(非归零码)相比,以上所说......
  • 混沌现象简介
    作者:fasiondog参考:王东生、曹磊编著,《混沌、分形及其应用》,中国科学技术大学出版社,1995年混沌(chaos)是现代科学的重要概念,是非线性科学的一个非常重要的内容。十九世纪末和......
  • 全国产!全志T3+Logos FPGA开发板(4核ARM Cortex-A7)规格书
    评估板简介创龙科技TLT3F-EVM是一款基于全志科技T3四核ARMCortex-A7+紫光同创LogosPGL25G/PGL50GFPGA设计的异构多核国产工业评估板,ARMCortex-A7处理器单元主频高达......
  • 全国产!全志T3+Logos FPGA核心板(4核ARM Cortex-A7)规格书
    核心板简介创龙科技SOM-TLT3F是一款基于全志科技T3四核ARMCortex-A7处理器+紫光同创LogosPGL25G/PGL50GFPGA设计的异构多核全国产工业核心板,ARMCortex-A7处理单元主......
  • 【FPGA基础】门控时钟与使能时钟
    1、门控时钟门控时钟通过一个使能信号控制时钟的开关组合逻辑中多用门控时钟包含一个门电路,容易因竞争而产生不希望的毛刺当系统不工作时可以关闭时钟,降低系统功耗......
  • FPGA VHDL 速查手册
    1数据类型转换2常用库libraryieee;useieee.std_logic_1164.all;useieee.numeric_std.all;useieee.numeric_bit.all;3关键字4简单的例子libraryieee;......
  • 基于FPGA状态机设计实现EtherCAT从站
    0引言EtherCAT是由BECKHOFF提出的在工业控制领域获得广泛应用的现场总线之一,该总线具备全双工工作模式,可基于主站(Master)和从站(Slave)连接的模式实现数据传递,且具有低延时、......
  • 什么是混沌测试?
     混沌测试是一种基于系统状态的测试方法。通过对系统状态进行测量,可以测试系统在不同条件下的运行状态,这是测试过程的基础。随着时间的推移,系统可以经历从不确定的转变......
  • 明德扬FPGA项目案例展示
    MIPI视频拼接:在无人机、智能驾驶中,摄像头多达十几路为解决图像处理芯片(如海思、高通平台)的接口瓶颈需要将多个摄像头合成一路处理。SLVS-EC转MIPISLVS-EC采集,LANE速率可达......