首页 > 其他分享 >【FPGA基础】门控时钟与使能时钟

【FPGA基础】门控时钟与使能时钟

时间:2023-01-01 19:22:06浏览次数:60  
标签:分频 使能 FPGA 逻辑 门控 时钟

1、门控时钟

  • 门控时钟通过一个使能信号控制时钟的开关
    • 组合逻辑中多用门控时钟
    • 包含一个门电路,容易因竞争而产生不希望的毛刺
    • 当系统不工作时可以关闭时钟,降低系统功耗
  • 使用门控时钟并不符合同步设计的思想,它可能会影响设计的实验和验证

 

 

 

 

2、使能时钟

  • 时钟始终保持开启工作状态,使能时钟是在每个时钟周期都判断使能信号以确定是否进行逻辑处理
  • 使能时钟不能像门控时间一样降低系统功耗
  • 使能时钟使用同步设计思想,便于设计实现与验证

       

     

 相比之下,门控时钟是对时钟本身“是否存在”加以限制,而使能时钟是通过使能信号对时钟的某些时刻“是否有效”进行限制。

 

3、用使能时钟代替分频时钟

  • FPGA内部时钟使用逻辑计数分频产生的时钟,一般不推荐直用于FPGA内部逻辑的时钟
  • 若不希望使用PLL资源,或者无法产生过慢的时钟,那么可以考虑使用使能时钟的方式产生“分频时钟”
  • 分频时钟改用使能时钟的好处:
    • 避免时钟不稳定
    • 保持一个时钟,减少跨时钟域
    • 时序设计可以使用“多周期约束“

 

SoC中的门控时钟可参考:https://zhuanlan.zhihu.com/p/139363948

标签:分频,使能,FPGA,逻辑,门控,时钟
From: https://www.cnblogs.com/Ivan0506/p/15212517.html

相关文章

  • FPGA VHDL 速查手册
    1数据类型转换2常用库libraryieee;useieee.std_logic_1164.all;useieee.numeric_std.all;useieee.numeric_bit.all;3关键字4简单的例子libraryieee;......
  • stm32——时钟系统
    时钟系统知识总结(任何一个外设在使用前,都必须首先使能其相应的时钟)最高主频72Mstm32有五个时钟源(HSI、HSE、LSI、LSE、PLL)HSE时钟:高速外部时钟(外接晶振/其他时钟信号)来源......
  • C/C++中时钟相关函数操作
    clocktick:时钟计时单元,一个时钟计时单元的时间长短是由CPU控制的。一个clocktick不是CPU的一个时钟周期,而是C/C++的一个基本计时单位。C/C++中的计时函数是clock(),而与......
  • 基于FPGA状态机设计实现EtherCAT从站
    0引言EtherCAT是由BECKHOFF提出的在工业控制领域获得广泛应用的现场总线之一,该总线具备全双工工作模式,可基于主站(Master)和从站(Slave)连接的模式实现数据传递,且具有低延时、......
  • 明德扬FPGA项目案例展示
    MIPI视频拼接:在无人机、智能驾驶中,摄像头多达十几路为解决图像处理芯片(如海思、高通平台)的接口瓶颈需要将多个摄像头合成一路处理。SLVS-EC转MIPISLVS-EC采集,LANE速率可达......
  • 《DFZU2EG_4EV MPSoc之FPGA开发指南》第三十五章 双目OV5640摄像头HDMI显示实验​
    双目OV5640摄像头HDMI显示实验​在双目OV5640摄像头RGB-LCD显示实验中,成功地在LCD屏上实时显示出了摄像头采集的图像。本章将使用FPGA开发板实现对双目OV5640的数字图像采集......
  • 华大电子MCU-CIU32F011x3、CIU32F031x5复位和时钟系统
    10.复位和时钟系统(RESET/CLOCK)10.1.引脚复位(MCLR)功能默认状态下华大电子MCUCIU32F011x3、CIU32F031x5的MCLR复位功能关闭,PA12为MCLR引脚,使能复位功能时该引......
  • 《DFZU2EG_4EV MPSoc之FPGA开发指南》第三十四章 双目OV5640摄像头RGB-LCD显示实验​
    双目OV5640摄像头RGB-LCD显示实验​双目摄像头是在一个模组上集成了两个摄像头,实现双通道图像采集的功能。双目摄像头一般应用于安防监控、立体视觉测距、三维重建等领域。......
  • FPGA 舵机控制
    moduledj(clock,good0,good1,good2,good3,good4,en_duoji,money,pwm_out0,pwm_out1,pwm_out2,pwm_out3,pwm_out4);inputclock;inputmoney;inputen_duoji;inputg......
  • 《DFZU2EG_4EV MPSoc之FPGA开发指南》第三十三章 OV5640摄像头HDMI显示实验​
    OV5640摄像头HDMI显示实验​在OV5640摄像头RGB-LCD显示实验中,成功地在LCD屏上实时显示出了摄像头采集的图像。本章将使用FPGA开发板实现对OV5640的数字图像采集并在HDMI显示......