1 数据类型转换
2 常用库
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.numeric_bit.all;
3 关键字
4 简单的例子
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.numeric_bit.all;
entity vhdl_top is
port(
i_key : in std_logic_vector(3 downto 0);
o_led : out std_logic_vector(3 downto 0)
);
end entity vhdl_top;
architecture bhv of vhdl_top is
component not_gate is
port(
i_key : in std_logic_vector(3 downto 0);
o_led : out std_logic_vector(3 downto 0)
);
end component;
begin
u_not_gate : not_gate
port map(
i_key => i_key,
o_led => o_led
);
end bhv;
标签:std,use,led,VHDL,FPGA,downto,logic,速查,ieee
From: https://www.cnblogs.com/santion/p/17017863.html