首页 > 其他分享 >FPGA VHDL 速查手册

FPGA VHDL 速查手册

时间:2023-01-01 11:22:09浏览次数:36  
标签:std use led VHDL FPGA downto logic 速查 ieee

1 数据类型转换

image

2 常用库

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.numeric_bit.all;

3 关键字

image
image

4 简单的例子

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.numeric_bit.all;


entity vhdl_top is
	port(
		i_key : in	std_logic_vector(3 downto 0);
		o_led : out std_logic_vector(3 downto 0)
	);
end entity vhdl_top;

architecture bhv of vhdl_top is
	component not_gate is
		port(
			i_key : in	std_logic_vector(3 downto 0);
			o_led : out std_logic_vector(3 downto 0)
		);
	end component;
			
begin
	
	 u_not_gate : not_gate
		port map(
			i_key => i_key,
			o_led => o_led
		);

end bhv;

标签:std,use,led,VHDL,FPGA,downto,logic,速查,ieee
From: https://www.cnblogs.com/santion/p/17017863.html

相关文章

  • 快来领取你的JavaScript正则表达式速查表
    如果我们想对字符串进行相关(增、删、改、查、检索)操作,就可以用接下来的正则表达式实现 什么是正则表达式正则表达式是用于匹配字符串中字符组合的模式正则表达式通......
  • 基于FPGA状态机设计实现EtherCAT从站
    0引言EtherCAT是由BECKHOFF提出的在工业控制领域获得广泛应用的现场总线之一,该总线具备全双工工作模式,可基于主站(Master)和从站(Slave)连接的模式实现数据传递,且具有低延时、......
  • 正则速查表
    基础正则表达式速查表转自:https://www.r2coding.com/#/字符表达式描述[abc]字符集。匹配集合中所含的任一字符。[^abc]否定字符集。匹配任何不在集合中的......
  • 明德扬FPGA项目案例展示
    MIPI视频拼接:在无人机、智能驾驶中,摄像头多达十几路为解决图像处理芯片(如海思、高通平台)的接口瓶颈需要将多个摄像头合成一路处理。SLVS-EC转MIPISLVS-EC采集,LANE速率可达......
  • 《DFZU2EG_4EV MPSoc之FPGA开发指南》第三十五章 双目OV5640摄像头HDMI显示实验​
    双目OV5640摄像头HDMI显示实验​在双目OV5640摄像头RGB-LCD显示实验中,成功地在LCD屏上实时显示出了摄像头采集的图像。本章将使用FPGA开发板实现对双目OV5640的数字图像采集......
  • 《DFZU2EG_4EV MPSoc之FPGA开发指南》第三十四章 双目OV5640摄像头RGB-LCD显示实验​
    双目OV5640摄像头RGB-LCD显示实验​双目摄像头是在一个模组上集成了两个摄像头,实现双通道图像采集的功能。双目摄像头一般应用于安防监控、立体视觉测距、三维重建等领域。......
  • FPGA 舵机控制
    moduledj(clock,good0,good1,good2,good3,good4,en_duoji,money,pwm_out0,pwm_out1,pwm_out2,pwm_out3,pwm_out4);inputclock;inputmoney;inputen_duoji;inputg......
  • 《DFZU2EG_4EV MPSoc之FPGA开发指南》第三十三章 OV5640摄像头HDMI显示实验​
    OV5640摄像头HDMI显示实验​在OV5640摄像头RGB-LCD显示实验中,成功地在LCD屏上实时显示出了摄像头采集的图像。本章将使用FPGA开发板实现对OV5640的数字图像采集并在HDMI显示......
  • FPGA面试题
    1.对于同步fifo,每100个cycle可以写入80个数据,每10个cycle可以读出8个数据,fifo的深度至少为?写时钟频率w_clk,读时钟频率r_clk,写时钟周期里,每B个时钟周期会有A个数据......
  • 《DFZU2EG_4EV MPSoc之FPGA开发指南》第三十二章 OV5640摄像头RGB-LCD显示实验​
    OV5640摄像头RGB-LCD显示实验OV5640是OmniVision(豪威科技)公司生产的CMOS图像传感器,该传感器分辨率高、采集速率快,图像处理性能强,主要应用在手机、数码相机、电脑多媒体等领......