vcs
  • 2024-04-22掌控基础设施,加速 DevOps 之旅:IaC 深度解析
    在当今的DevOps世界中,基础设施即代码(IaC)是一个非常重要的概念。它在整个行业几乎无处不在,是现代工程角色的绝对关键。 本文将主要包含IaC的定义和它的好处,同时将Walrus作为最佳实践来进行详细讲解。 什么是基础设施即代码(IaC)用最简单的话来说,就是使用代码定义需要在
  • 2024-03-07Subversion svn 开源的版本控制系统入门介绍 VCS
    拓展阅读Subversion开源的版本控制系统入门介绍VCSGit开源的版本控制系统-01-入门使用介绍Git开源的版本控制系统-02-baseusage基本用法Git开源的版本控制系统-03-时间数据回溯Git开源的版本控制系统-04-branchmanage分支管理Git开源的版本控制系统-05-tags标签
  • 2023-12-24幽灵和熔断+LR/SC的实现和使用+Consistent和Coherent+memory 属性 Device-nGnRnE+IP-XACT+vcs token is "until"
    幽灵和熔断幽灵和熔断是基于瞬态指令流的缓存侧信道攻击。在瞬态指令流中被执行的内存加载指令如果将一个数据带入了缓存,则即使流水线回滚期间处理器丢弃了该指令返回的访存结果,已经被修改的缓存状态却无法撤销。由此,攻击者可以通过监测缓存的变化来推断受害者程序的访存地址,如果
  • 2023-11-14vcs与verdi命令行仿真查看波形
    makefileDESIGN_NAME="fifo_tb"LOG_VCS="vcs.log"LOG_SIMV="simv.log"FILE_LIST="./list.f"#CodeCoverageCM=-cmline+cond+tgl+fsm+branch+assertCM_DIR=-cm_dircvrg#Makefilebody.PHON
  • 2023-10-11vcs_dump波形相关选项
    VCSdump波形相关选项目录1vcs选项2forcetcl中的选项1vcs选项-debug_access+all#允许dump波形?-debug_region+cell+encrypt#允许dumpcell的波形(stdcell,memorycell等)2forcetcl中的选项fsdbDumpfile"./vcs.fsdb"fsdbDumpvars0TBfsdbDumpon
  • 2023-09-17VIVADO VCS VERDI联合仿真
    ./tb_test.shverdi-ffilelist.f-ssf*.fsdb&
  • 2023-08-12Git的历史
    1、“Git”是什么?   浅显的理解,Git是一款代码管理工具(VersionControlSystem),无疑对比现在市面上的VCS,“Git”绝对是最牛逼的。深层次的讲,在公司的时候,某大神曾讲:“Git的出现,使得其他国家和美国的计算机水平差距拉近了30年!!!”,也是从那个时候起,小生励志要好好学习Git,造福网友。2
  • 2023-07-17VCS
    VCS处理流程--首先把模块读进来--按照固定的顺序放在队列,先执行没有延迟的语句--active_region(执行UDP原语、display()、assign、阻塞赋值、非阻塞赋值的计算)--inactive_region#0--Nonblockingassignregion非阻塞赋值--monitorregion  只有当相关变量发生变化才进
  • 2023-07-14Svn版本控制该Git
    .idea下的vcs.xml文件vcs的值为svn或Git
  • 2023-07-13#vcs#命令
    VCS简介vcs用于编译Verilog/SystemVerilog、生成仿真波形、覆盖率等。仿真波形主要是通过verdi来查看,因此生成的波形文件为fsdb格式  
  • 2023-07-05VCS 仿真脚本makefile样例
    详细的参数介绍参考下面的博客https://www.cnblogs.com/csjt/p/15581396.html自己的makefile,注意 SEED=`date+%N`//随机种子的定义取时间SIM_COV=-cmline+cond+fsm+tgl+branch//代码覆盖率采样的定义 TESTLIST=ahb_mst_burstahb_mst_single_read32ahb_
  • 2023-06-21家用游戏机简史
    谈到家用游戏机的历史,其实应该追溯到40多年之前。当时,世界上资历最老的电视游戏厂商Atari,基于其街机(不是在家里玩的,而是安装在游戏厅里的商用游戏机)游戏PONG,推出了一款家用游戏机版本的HOME-PONG(1975年)。这是一款两人对打的网球游戏,内容其实非常简单,就是在一个纯黑的背景上用白色的
  • 2023-06-12VCS DVE 仿真时间回退
    1、仿真不但可以向前执行,还可以将有用的仿真时间记录下来checkpoint,以后可以回退rewind2、记录仿真时刻,点击菜单栏addcheckpoint则在按钮右侧会生成checkpoint  3、在后期仿真过程中,可以选择早于当前时刻的任何一个checkpoint4、点击rewindtoselectedcheckpoint 
  • 2023-06-11VCS+DVE+Verdi+Makefile使用
    业界有三大仿真工具,Synopsis家的VCS、Cadence家的IUS-irun(现在是Xcelium-xrun)和Mentor的Modelsim。VCS的全称是VerilogCompileSimulator,是Synopsis公司的电路仿真工具,可以进行电路的时序模拟。VCS属于编译型verilog仿真器,内部的仿真工具是DVE。VCS先将verilog/systemverilog文
  • 2023-05-28VCS常用调试方法记录
    VCS常用调试方法1.若编译VCS报错不明显,可在pkg注释掉`inlcude`单个或多个文件后再编译。简单来说:注释!调试!2.若VCS报错信息noendpackage,可能是pkg中的某个文件有误3.调试编译除了可以用VCS也可用DVT来获取更多的报错信息来确定出错点4.可以将makeclean一下后再编译试试5.
  • 2023-05-25VCS基本编译参数
    参考:VCS基本编译参数-知乎(zhihu.com)1.vcs常用编译选项:(1)帮助文档vcs-h列出最常用的vcs编译和runtime选项vcs-doc在网页上显示vcs文档vcs-ID显示本机的一些信息以及VCS的版本信息 (2)license选项vcs-licqueue告诉VCS,当没有license时等待。 (3)接入verilog库
  • 2023-05-13关于IDE如何连接github和Gitee
    1.vcs version controlsystem 开发工具集成了vcs2.连接Gitee步骤setting中下载插件: vcs中clone中登录Gitee用GitHub的账号: 
  • 2023-05-02数字asic流程实验(EX)VCS+Verdi前仿真&后仿真
    数字asic流程实验(EX)VCS+Verdi前仿真&后仿真1.前言写数字asic流程实验系列博客已经过去一年多了,现在也算结束了纯小白的状态,稍微有了一些数字前端开发经验。在老的系列教程里面用的前仿后仿工具还是modelsim,实际上业界主流工具还是功能更强大的VCS和Verdi。两个也都是synopsys家
  • 2023-04-20Verdi
    1.testbench中控制生成fsdb文件记录波形initialif($test$plusargs("DUMP_FSDB"))//只需要在仿真命令后面加上如下命令即可,这里的DUMP_FSDB字符串即vcs+DUMP_FSDB begin $fsdbDumpfile("testname.fsdb");//记录波形,波形名字testname.fsdb$fsdbDumpvars(
  • 2023-04-10vcs
    VCS  编译型verilog仿真器,先将.v文件转化为C文件,在linux下编译生成可执行文件,运行simv得到仿真结果  在实际工程中,通常使用VCS生成fsdb格式的波形文件,将其导入Verdi查看波形,代替DVE进行联合仿真。    在tb文件加入$vcdpluson(); --在仿真结束后会生成vcdplus.vpd文件
  • 2023-04-04VCS用法
      1.时钟频率点击,鼠标左键点击波形上升沿,中间滚轮点击,然后选择hz,就显示当前信号时钟频率。2.窗口乱掉,找不到文件列表,右下角点击弹出选择instance。3.bus地址查找,选择信号,然后蓝色框选择value,输入地址,点击左右找相同地址的操作。
  • 2023-03-20后端基础——vcs后仿delay
    贴上参考文章,写的很好:negativetimingcheck和negativedelay-知乎(zhihu.com),如有需要可以参考原文一,vcs后仿两个关键的option在做vcs后仿时,有两个参数:+neg_tchk和-
  • 2023-03-1907-逻辑仿真工具VCS-Post processing with VCD+ files
    逻辑仿真工具-VCS编译完成不会产生波形,仿真完成之后,生成波形文件,通过dve产看波形vcd是波形文件的格式,但是所占的内存比较大,后面出现了vpd(VCD+)波形文件将一些系
  • 2023-03-1906-逻辑仿真工具VCS-Debug
    逻辑仿真工具VCSverdi只进行debug进行使用,不进行编译,只进行产生波形之后的debug仿真速度和代码质量有关系,选项也会影响仿真速度,行为级>RTL>门级信号的可见性和
  • 2023-03-1905-逻辑仿真工具VCS-详解01
    VerilogSimulationEventQueue主要了解VCS是如何处理交给它的代码的Verilog的仿真事件队列,介绍VCS如何处理交给它的代码。VCS是Synopsys公司的,支持多种语言。1.Verilo