首页 > 其他分享 >Gvim + VCS + Verdi 使用技巧之环境篇

Gvim + VCS + Verdi 使用技巧之环境篇

时间:2025-01-19 22:57:30浏览次数:3  
标签:语句 文件 NOVAS Gvim 选项 fsdb HOME VCS Verdi

前言

新手在刚刚接触到 Gvim + VCS + Verdi 的仿真验证环境时,可能会遇到加了相应语句及文件但还无法生成 fsdb 波形文件的问题。本文主要提供解决该问题的方法或调试的思路和方向。

一、tb 文件添加语句

通常来讲,在环境配置正确的情况下,只要在 tb 文件中加入以下 2 条语句即可产生 fsdb 波形文件,因此首先需要排查这一项。

initial begin
     $fsdbDumpfile("fsdb_name.fsdb");
     $fsdbDumpvars(0,"top_module_name"); // 0 表示所有层级的信号
end

二、开启相应编译选项

如果加了这 2 条语句,还是无法产生 fsdb 文件,请检查编译选项中是否开启生成 fsdb 文件的选项。如 comp 中是否添加了 -debug 相关选项-fsdb选项。

三、添加相应的库文件

如果已经开启了该选项,但还是无法产生 fsdb 波形。接下来需要排查是否指定了所需要加载的表格文件(.tab)和静态库(.a),如

-P /路径/novas.tab  \ 
   /路径/pli.a

四、设置环境变量

如果以上语句均已添加,仍然无法生成 fsdb 文件,则很有可能是环境变量的问题。第 3 点中的路径可以使用 $NOVAS_HOME 这种调用的形式,但关键在于需要对 NOVAS_HOME 进行路径的指定。我本次 makefile 中其实已经采用的是绝对路径,但仍然无法生成 fsdb 文件就是这个原因导致的。此时需要运行如下指令设置环境变量

g ~/.bashrc

并且在该文件中增加以下语句

export NOVAS_HOME=/路径/verdi安装主目录

再在原先的仿真目录下 source ~/.bashrc 并重新进行仿真即可生成 fsdb 文件。

注:
① 有用户说只要指定了第 4 点,第 3 点可以不用添加,我没有尝试过。
② 有用户的 NOVAS_HOME 是直接在 makefile 中直接指定的,我也没有尝试过。

总结

以上就是本次调试环境的心得体会,供大家参考与讨论。因为我不是从零开始搭建的环境,只是中途发现了上述问题,为了解决该问题,采取了相应的措施。大家可以自行尝试和摸索流程,也欢迎更多系统性思维的建议与指正。

标签:语句,文件,NOVAS,Gvim,选项,fsdb,HOME,VCS,Verdi
From: https://blog.csdn.net/m0_46378964/article/details/145248108

相关文章

  • Gvim + VCS + Verdi 使用技巧之debug篇
    文章目录前言一、Gvim快速访问filelist中的文件二、VCS最大报错数三、Verdi查看force的信号总结前言新手在刚刚接触到Gvim+VCS+Verdi的仿真验证环境时,有一些可以使用的技巧用来快速debug。本文主要介绍3种方法,用来解决常见的几种问题。一、Gvim快......
  • kylin桌面系统安装rabbitvcs
    kylin桌面系统和服务器版不同,它是基于ubuntu的,所以在安装上大概按照ubuntu安装就行。但kylin桌面系统,的文件管理是peony,而非nautilus,所以安装成功后,右键菜单不显示。1、安装aptinstallsubversionaptinstallrabbitvcs-nautilus2、问题处理 当shell中输入nauti......
  • vmware vcsa证书过期处理
    一、问题现象 vCenterServerAppliance(VCSA)6.5.x,6.7.x或者vCenterServer7.0.x,8.0.x可能出现以下现象1、vmware-vpxd 服务启动失败2、登录vSphereClient时失败报错HTTPStatus400–BadRequestMessageBadRequest,Signingcertificateisnotvalid......
  • 安装vCenter VCSA 7.0 报错 Failed to run vdcpromo 的问题
    百度了一下说是DNS的问题,但我也设置了8.8.8.8或电信的dns,都不行。外网找了一下说要设置为127.0.0.1,但是7.0U3a后的版本不允许填写127.0.0.1了。最后找到一个通过CLI的方式安装可以避免这个问题。首先创建一个CLI的横版文件,内容如下:{"__version":"2.13.0","__comments......
  • VCSA基于ansible批量创建虚拟机
    基于ansible批量创建虚拟机安装模块pipinstall--upgradeansiblepipinstallPyVmomiansible-galaxycollectioninstallcommunity.vmwareansiblevmware_guest如果需要配置IP和计算机名需要安装VMwaretools----hosts:localhost##在本机执行gather_facts:......
  • Verdi Error-[XMRE] Cross-module reference resolution error
    Error-[XMRE]Cross-modulereferenceresolutionerror/opt/xilinx/Vivado/2019.2/data/verilog/src/unisims/OSERDESE2.v,134Errorfoundwhiletryingtoresolvecross-modulereference.token'glbl'.Originatingmodule'OSERDESE2'.......
  • 【数字IC】——GVIM正则表达式
    1、正则表达式概述正则表达式(RegularExpression,regex/regexp)是一种用于匹配和操作文本的强大工具,它是由一系列字符和特殊字符组成的,用于描述要匹配的文本模式。GVIM、TCL中都会使用到正则表达式,其中TCL中的使用方法已在之前介绍过,大家可以参考我这篇博客【数字IC-TCL语言......
  • 【VMware vCenter】VMware vCenter Server(VCSA) 5.5 版本证书过期问题处理过程。
    之前帮客户处理了一个因证书过期导致vCenterServer无法登录的问题,在此记录一下,因为时间过去有点久了,可能会有些地方描述的不是很清楚,所以就当作参考就行。客户环境是一个非常老的vCenterServer5.5版本并基于Linux版本的VCSA(当时这个版本还有基于Windows的,注意区别),早......
  • verdi的快捷按键
    1、加载波形弹出窗口2、保存波形文件3、加载波形文件4、调整波形的颜色选中信号,按“T”按键就可随意修改波形颜色5、搜索层级顶层选中顶层文件,按‘shift+s’可查询顶层 ......
  • MBIST和BISR+循环移位和强制转换+verdi操作+vip需要disable auto recording+vim设置某
    MBIST和BISRhttps://blog.csdn.net/liubin1222/article/details/103995449https://zhuanlan.zhihu.com/p/161185302进行内存修复需要两步:首先在可修复内存测试期间,由MBIST控制器诊断出的故障。第二步是修复内存,确认修复签名。可修复的存储器都有带修复签名的寄存器。MBIST(Me......