• 2024-07-0315.分频器设计--偶分频
    设计一个六分频时钟信号(1)visio视图:(2)Verilog代码:moduledivider_six(clk,reset_n,clk_out);inputclk;inputreset_n;outputregclk_out;reg[1:0]cnt;//计数器模块设计always@(posedgeclkornegedgereset_n)if(!re
  • 2024-07-02模拟量输出模块IS215WETAH1BB丨IS200WETAH1AGC
    IS215WETAH1BB是一个模拟量输出模块,具有15个端口,包括10个千兆以太网端口和5个千兆SFP端口,支持自动协商和MDI/MDIX功能。此外,它还被描述为一个安全控制模块,适用于工业自动化控制系统,具有高精度、高可靠性和快速响应的特点TheIS215WETAH1BBisananalogoutputmodulewith1
  • 2024-07-0113.计数器设计、标志脉冲信号的使用
    (1)设计定义:设计一个计数器模块,实现每0.5秒跳转一次的功能,可以用LED灯的翻转来体现,要求初始状态为LED熄灭。(2)visio视图:(3)Verilog代码:modulecounter(clk,reset_n,led_out);inputclk;inputreset_n;outputregled_out;//0.5s=500_000_000ns=
  • 2024-06-21离线使用端口扫描工具Nmap和Netcat
    1.安装nmap命令Centos7+系统离线安装nmap命令链接:https://pan.baidu.com/s/1jqNbRNpctXgUfa4Qfpu4Pg提取码:0124rpm-ivh  *********.rpm2.进行端口扫描使用Nmap扫描目标主机,例如扫描本地主机localhost: sudonmap-sS-p-localhost-sS:使用TCPSYN扫描。-p-:
  • 2024-06-05【FPGA约束】如何对fpga进行io约束
            对FPGA进行I/O输入输出约束是确保设计满足电气和物理要求的重要步骤。以下是在Vivado环境中设置I/O约束的一般步骤:1.确定I/O引脚需求根据电路设计和FPGA芯片手册,确定每个I/O引脚的物理位置、电气特性(如电压标准)以及其他相关属性。2.使用Vi
  • 2024-05-29【jetson nano】烧录系统
    烧录固件 烧录固件是为了让板子用tf卡作为系统启动(非板载启动),一般来说只需要刷写一遍。安装vm,找到虚拟机镜像,解压part01就能获取镜像。 打开vm,打开此虚拟机镜像,账号clb,密码为123456短接23脚进入烧录模式,使用Micro-USB连接线连接Nano和电脑,然后DC电源上电。可以看到电源
  • 2024-04-20Computer Basics 04 - Buttons and Ports on a Computer
     IntroductionTakealookatthefrontandbackofyourcomputercaseandcountthenumberofbuttons,ports,andslotsyousee.Nowlookatyourmonitorandcountanyyoufindthere.Youprobablycountedatleast10,andmaybealotmore.Eachcomputer
  • 2024-04-03【Docker基础系列】Docker 中的各类端口详解
    【Docker基础系列】Docker中的各类端口功能示例详解源自专栏《docker常用命令系列&&k8s系列目录导航》文章目录【Docker基础系列】Docker中的各类端口功能示例详解概念端口类型分类:**容器端口(ContainerPorts)**:**宿主机端口(HostPorts)**:**随机端口(RandomPor
  • 2024-03-28Xilinx ZYNQ 7000+Vivado2015.2系列(十四)按键中断控制LED亮灭
    前面我们介绍了按键中断,其实我们稍作修改就可以用按键控制LED了。做个小实验,两个按键分别控制两个led亮灭。板子:zc702。硬件部分添加zynq核:勾选串口用于打印信息,勾选EMIO,我们控制两个led,所以需要2bitPL到PS的中断勾选上:PL时钟什么的都用不到,我们用的按键不需要时钟,EMIO
  • 2024-03-27Xilinx ZYNQ 7000+Vivado2015.2系列(六)创建一个基于AXI总线的GPIO IP并使用
    前言:FPGA+ARM是ZYNQ的特点,那么PL部分怎么和ARM通信呢,依靠的就是AXI总线。这个实验是创建一个基于AXI总线的GPIOIP,利用PL的资源来扩充GPIO资源。通过这个实验迅速入门开发基于总线的系统。使用的板子是zc702。AXI总线初识:AXI(AdvancedeXtensibleInterface),由ARM公司提出的
  • 2024-03-27Xilinx ZYNQ 7000+Vivado2015.2系列(四)之GPIO的三种方式:MIO、EMIO、AXI_GPIO
    前言:ZYNQ7000有三种GPIO:MIO,EMIO,AXI_GPIOMIO是固定管脚的,属于PS,使用时不消耗PL资源;EMIO通过PL扩展,使用时需要分配管脚,使用时消耗PL管脚资源;AXI_GPIO是封装好的IP核,PS通过M_AXI_GPIO接口控制PL部分实现IO,使用时消耗管脚资源和逻辑资源。使用的板子是zc702。1.MIO方式Zynq7000
  • 2024-03-27Xilinx ZYNQ 7000+Vivado2015.2系列(二)之奇数分频和逻辑分析仪(ILA)的使用
    前言:偶数分频容易得到:N倍偶数分频,可以通过由待分频的时钟触发计数器计数,当计数器从0计数到N/2-1时,输出时钟进行翻转,并给计数器一个复位信号,使得下一个时钟从零开始计数。以此循环下去。奇数分频如何得到呢?第一部分 奇数分频奇数分频方法:N倍奇数分频,首先进行上升沿触发进行
  • 2024-03-27Xilinx ZYNQ 7000+Vivado2015.2系列(一)之流水灯(纯PL)
    原文链接:https://blog.csdn.net/u014485485/article/details/78056980前言:学习Xilinx的ZYNQ7000系列,用的板子是zc702(注意不是zedboard),SOC型号是xc7z020。虽然设计思路一样,但不同的套件引脚和io标准是有区别的,zc702评估板的的外观图如下,可以对照下自己的板子:作为入门体验,本设
  • 2024-03-12vivado的使用步骤与仿真详解(LZQ_0311)
    vivado仿真vivado集成了HLS工具,可以直接使用C\C++\systemC语言对Xilinx的FPGA器件进行编程。用户无需手动创建RTL,通过高层次综合生成HDL级的IP核,从而加速IP创建。参考了下面的视频整理出的流程与步骤:vivado视频教程:第一讲:https://www.bilibili.com/video/BV1XU4y1M7n
  • 2024-02-23恢复VCPkg(2023-01-27)中Vtk[Qt]的默认依赖为Qt5
    通过查看vtk的更新的日志已于2023-01-27将默认依赖的Qt的版本由5更新到6gitlog--.\ports\vtkcommit27fb19bdcc1f6ddb1261cffb5372724ac1d63a93Author:LilyWang<[email protected]>Date:2023-08-23[manyports]FixURLSofdownlo
  • 2024-02-03dremio cluster docker-compose 运行
    dremio社区版,集群安装比较简单,核心就是一个配置(zk,分布式存储),为了方便本地环境的测试我基于docker-compose提供了一个方便部署的环境,可以使用环境配置docker-compose version:"3"services:zk:image:zookeeperports:-2181:21
  • 2024-01-17dremio nessie 版本持久化配置简单说明
    dremio对于nessie的使用就是一个插件配置,默认基于docker运行的nessie是没有进行版本持久化的,以下是一个简单说明配置参考我基于了pg,实际支持的存储引擎还是很多的docker-composeversion:"3"services:mysql2:image:mysql:5.6command:--
  • 2024-01-03dremio nessie数据源集成简单说明
    nessie是一个强大的支持类似git分之特性的apacheiceberg智能元数据存储,主要测试下基于nessiedremio元数据存储(catalog)集成参考架构环境准备docker-compose文件version:"3"services:minio:image:minio/minioports:-"9000:9000"-"19001:190
  • 2023-12-3008fdma数据通路加入sobel算法IP方案
    软件版本:vitis2021.1(vivado2021.1)操作系统:WIN1064bit硬件平台:适用XILINXA7/K7/Z7/ZU/KU系列FPGA登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑!8.1概述    本文实验目的:1:掌握2个uifdma_dbufIP的同时使用,以及读写通道之间的同步设计2:实现1路数据实时显示
  • 2023-12-21firewalld防火墙介绍
    systemctlstatusfirewalldsystemctlstartfirewalldsystemctlstopfirewalldsystemctlenablefirewalldsystemctldisablefirewalldsystemctlis-enabledfirewalldsystemctlis-activefirewalldsystemctlis-failedfirewalldsystemctlreloadfirewalldsyst
  • 2023-12-15rk3568移植搭建Ubuntu20.04.5根文件系统
    一.下载ubuntu-base https://cdimage.ubuntu.com/ubuntu-base/releases/20.04.5/release/ 下载固件:buntu-base-20.04.5-base-arm64.tar.gz 根文件系统创建目录ubuntu_rootfs,并解压到该目录:mkdirubuntu_rootfstar-zxvfubuntu-base-20.04.5-base-arm64.tar.gz-Cubuntu_root
  • 2023-11-15Microservice - Hexagonal Architecture
    Hexagonalarchitecture(https://alistair.cockburn.us/hexagonal-architecture/),proposedbyAlistairCockburnin2005,isanarchitecturalpatternthataimstobuild looselycoupledapplicationcomponentsthatcanbeconnectedviaportsand adapters.Inth
  • 2023-11-09Flink CDC 同步 demo
    运行docker-compose.yml搭建数据库源,官方mysql样例数据源无法启动,改用其他mysql镜像version:'2.1'services:postgres:image:debezium/example-postgres:1.1ports:-"5432:5432"environment:-POSTGRES_PASSWORD=1234-POSTGR
  • 2023-11-01Ubuntu18.04.5配置离线镜像仓库
    1、配置apt-mirror配置文件cat>/etc/apt/apt-mirror<<EOFroot@Huawei-sources-list:/etc/apt#catmirror.list#############config####################setbase_path/var/www/html/18.04.5/#setmirror_path$base_path/mirror#setskel_path$base_p
  • 2023-09-16mapbox点图层标注根据zoom层级进行显示与隐藏
    主要使用了这个表达式进行过滤:"text-opacity":["step",["zoom"],0,5,1]这个表达式的意思就是zoom在小于5时text-opacity值等于0,大于5时text-opacity值等于1constaddPortsGeoJSONLayer=(ports)=>{letmap=G.map;map.loadImage(portIcon,function(error,im