• 2024-06-20Windows下 Modelsim10.7下载安装及破解
    下载链接: 【免费】EDA工具,modelsim资源-CSDN文库https://download.csdn.net/download/mojixin123/89452381?spm=1001.2014.3001.5501安装及破解步骤:1、解压后打开安装包,点击exe文件右键以管理员身份运行2、出现以下界面点击下一步 3、选择安装路径,尽量不要放在c盘,然后
  • 2024-06-05vivado与modelsim联合仿真
    写在前面:联合仿真需要版本对应,我的2020的modelsim和2020的vivado是可以用的。如果不对应,下边会编译报错。第一步,编译仿真库文件。点击菜单栏Tools-->CompileSimLibary,第一行Simulator选择Modelsim接下来Family选择你需要的器件对应的Family。Compiledlibraryloc
  • 2024-05-16modelsim安装与vivado联仿
    从网上下载安装包网上资源很多,需要注意要下载与vivado版本相匹配的modelsim版本。查看对应关系可以看网址下载完包后将crack.bat、MentorKG.exe拷贝到modelsim安装目录的win64文件夹下,例如D:\modelsim\win64pe双击crack.bat,看是否报错。若报错找不到mgls.dll,将mgls64.dll重命
  • 2024-04-30【Modelsim问题】# ** Error: (vsim-3170) Could not find 'lab1_tb'.
     #**Error:(vsim-3170)Couldnotfind'lab1_tb'. testbench文件名与其中module 后紧跟的名称不匹配
  • 2024-04-26基于ARM Cortex-M0软核处理器 在FPGA 搭建soc遇到的问题(灯不亮)
    1.Modelsim仿真发现所有的指令都不执行,可能是指令读取问题和总线信号的控制问题。 我遇到的:HRESP信号未使用,也没有赋值。查阅后发现HRESP标志传输状态,设置为常0即代表传输不会出错,就不会压制主机了。2.Modelsim仿真能通过,但是下载到FPGA上后,进行KEIL调试发现没有输出,我遇到的
  • 2024-03-16FPGA开发工具安装
    FPGA从零开始学习第一章工欲善其事必先利其器–各类工具安装FPGA开发工具安装软件配置和可能遇到的问题软件配置和可能遇到的问题FPGA从零开始学习前言一、软件安装中的问题1.Vivado的安装过程中的可能问题2.Modelsim的安装过程中的可能问题二、软件配置1.Mod
  • 2024-03-09Modelsim仿真软件与Notepad++关联
    Step1:在Modelsim下方的控制窗口(Transcript)输入代码,并敲回车procexternal_editor{filenamelinenumber}{exec"????/notepad++.exe"$filename}//????代表notepad++的安装路径,请大家按照自己电脑上的路径进行编辑.//注意:路径中的斜杠为“/”,也可以写成“\\”.//注意:若报错多检
  • 2024-03-06使用脚本配置ModelSim仿真
    最后修改日期:2024/03/061.问题使用ModelSim建立Project仿真的方法并不困难,但是经常提示类似于:Error:failtoopen...这样的错误。ModelSim经常找不到compile之后的模块,不知道为什么。此外,Project的方式反而会产生一堆乱七八糟的文件,这不便于管理。2.解决方法2.1.Mod
  • 2024-01-13Modelsim add to schemetic报错及解决
    Overview类似于Modelsim这样的软件,可以综合出RTL的实际逻辑电路,因此对于了解RTL到底层电路的映射是十分方便的。Addtoschemetic最近想用schemetic看一下不等于!=这个运算符会综合出怎样的电路逻辑,因此用Modelsim跑了一个简单的demo,但在将测试代码加入schemetic时报错。 关
  • 2023-12-303-1-05 Modesim软件安装
    1.1Modelsim软件版本选择不同的Vivado版本支持使用的Modesim版本不同,具体可查看Xilinx提供的文档UG973-vivado-release-notes-install-license。我们使用的Vivado软件版本是vivado2017.4,推荐使用版本是ModelSimSE/DE/PE(10.6b),经过安装发现,使用低于推荐的版本,在Vivado库
  • 2023-12-28Modelsim工程建立与仿真
    refresh后work目录下会出现添加的文件,然后对仿真文件点击simPS:这样就可以进输入工程文件进行查看波形了,然后如果遇到文件修改,可以进行重新编译,并且清除波形后再生成,既可以尝试新的波形。
  • 2023-12-28ModelSim的TCL脚本仿真流程【超详细案例教学】
    目录前言常用的tcl脚本仿真复杂tcl脚本仿真(以Quartus中带ipcore为例)参考前言在编写完成verilog代码时,我们常用需要使用modelsim软件进行仿真,一般我们都是在modelsim中手动添加文件,波形等等,小工程倒是无所谓,但是一旦信号量较多,涉及到观察以及编译方式使用GUI界面操作的方式未免有
  • 2023-11-20modelsim仿真使用小技巧
    1.在sim界面可以看到仿真的模块如果想将这些模块添加到仿真界面(wave),可以选中模块再ctrl+w即可,在wave仿真界面,全选波形(ctrl+a),再ctrl+g即可将波形自动分组,再双击各个组名即可重新命名
  • 2023-11-20Quartus和modelsim联合仿真流程
    本实验以实现半加器为例。1.建立half_adder文件夹和四个小文件夹2.rtl文件夹写.v文件,即程序代码代码实现如下:modulehalf_addr( inputwirein_1, inputwirein_2, outputwiresum, outputwirecount);assign{count,sum}=in_1+in_2;
  • 2023-10-21ModelSim 安装指南
    转载请标明出处:https://www.cnblogs.com/leedsgarden/p/17778527.html免费版可以满足大部分Verilog教学,本文介绍的是ModelSim的免费版如果有FPGA需求的,推荐使用SE版本破解安装下载页面下载对应的windows版本或者Linux版本,启动安装程序后一路默认即可。(注意留意
  • 2023-10-16modelsim仿真使用小技巧
    1.在sim界面可以看到仿真的模块如果想将这些模块添加到仿真界面(wave),可以选中模块再ctrl+w即可,在wave仿真界面,全选波形(ctrl+a),再ctrl+g即可将波形自动分组,再双击各个组名即可重新命名
  • 2023-09-14modelsim使用
    手动使用写好测试文件挺好理解的放代码看一下就懂了:文件名字为原本代码文件加上_tb`timescale1ns/1ns //精度1nsmodulehuiyidemo_tb;regsclk=0 ;regrst_n=0 ;wire[2:0]test_out;//这个是不需要写的initialbegin #100 //100ns rst_n<=1'b1;endalw
  • 2023-09-1102使用vivado和Modelsim进行仿真
    软件版本:vitis2021.1(vivado2021.1)操作系统:WIN1064bit硬件平台:适用AMD-XILINXA7/K7/Z7/ZU/KU系列FPGA登录"米联客"SOC|SOC社区-www.uisrc.com视频课程、答疑解惑!1概述仿真是每个初学者必须学会的一项技能,因为FPGA程序编译时间往往很长,所以对程序进行仿真就成为了校验程序
  • 2023-09-07modelsim和gowin联合仿真
    Gowin联合modelsim仿真,共分为两步,1.编译gowin库;2.联合仿真为什么要编译gowin库?Gowin的IP核或原语仅在对应的开发平台里使用,第三方工具modelsim无法获取gowin的IP核内部的运行逻辑结果,因此无法直接进行仿真,所以需要进行gowin库的编译。一、编译gowin库1.在modelsim安装目录新建
  • 2023-05-27vivado2019.2对modelsim2019.2编译库全报错解析
    最近在用vivado2019.2编译modelsim2019.2库时,所有库全部报错,查阅了博主们的各种解决办法,最终在一篇文章的评论中找到了解决办法,特此记录问题描述:1、ERROR:[Vivado12-5602]compile_simlibfailedtocompileformodelsimwitherrorinxxxlibraries2、ERROR:[Common17-
  • 2023-05-15Modelsim+vivado
    Preparationmodelsimse10.42.破解将MentorKG.exe和patch_dll.bat拷贝到安装目录下修改patch_dll.bat为以下内容@echooffattrib-rmgls.dllattrib-rmgls64.dllMentorKG.exe-hyour-物理地址-patch.pauseattrib-rmgls.dllattrib-rmgls64.dll物理
  • 2023-04-27Quartus Prime-can't launch the ModelSim software 的解决办法
     19.1版本的QuartusPrime Lite版本,安装了免费版的modelsim,已经设置了modelsim的路径: 但是还是提示: 打开Setting这里设置选中Modelsim-Altera 就可以了: 
  • 2023-04-25初学verilog的一点点感受
    最近开始学习verilog,也看了一点SystemVerilog,顺带折腾了一下常用的开发环境。经过反复折腾,适合学习verilog语言本身的,感觉还是iverilog简单,写完测试,打印输出,速度比较快,还可以gtkwave看看波形。其他无论使用Quartus还是Vivado都有点慢。如果学习SystemVerilog,iverilog好像很多功
  • 2023-03-25Modelsim SE 下载安装、注册详细教程
    声明:原博客为https://blog.csdn.net/ssj925319/article/details/115353790此文仅为记录安装过程,方便日后查看一、ModelsimSE下载及安装百度网盘下载链接:https://pan
  • 2023-03-19Quartus+Modelsim联合仿真
     软件版本:QuartusII18.1StandardEdition+ModelSimSE-6410.1 1、Quartus选项设置选择tool—》options—》General—》EDAToolOptions,在ModelSim一栏填写Mode