首页 > 其他分享 >【Modelsim问题】# ** Error: (vsim-3170) Could not find 'lab1_tb'.

【Modelsim问题】# ** Error: (vsim-3170) Could not find 'lab1_tb'.

时间:2024-04-30 12:11:58浏览次数:16  
标签:vsim Could Modelsim lab1 Error 3170 tb

 

# ** Error: (vsim-3170) Could not find 'lab1_tb'.

 testbench文件名与其中module 后紧跟的名称不匹配

标签:vsim,Could,Modelsim,lab1,Error,3170,tb
From: https://www.cnblogs.com/DoreenLiu/p/18167799

相关文章