• 2024-09-29[米联客-XILINX-H3_CZ08_7100] FPGA_SDK入门篇连载-26PL 自定义 AXI-Lite-频率计
    软件版本:VIVADO2021.1操作系统:WIN1064bit硬件平台:适用XILINXA7/K7/Z7/ZU/KU系列FPGA实验平台:米联客-MLK-H3-CZ08-7100开发板板卡获取平台:https://milianke.tmall.com/登录“米联客”FPGA社区http://www.uisrc.com视频课程、答疑解惑!目录1概述2系统框图3等精度
  • 2024-09-25FPGA Verilog基本语句(语法)FPGA入门
    本篇文章主要写了在Verilog环境下,FPGA基本语法和数据类型。可以通过导航键快速进入assign语句、always语句等其他内容!对于Verilog(FPGA):module     ...                   ==》构成主体endmodulemodule模块名(【端口
  • 2024-09-20手把手教你自己动手写cpu(六)--算术操作指令实现
    目录1.加法指令(Addition)实现思路Verilog实现示例2.减法指令(Subtraction)实现思路Verilog实现示例3.乘法指令(Multiplication)实现思路Verilog实现示例4.除法指令(Division)实现思路Verilog实现示例ALU模块乘法器模块除法器模块顶层模块测试模块总结 
  • 2024-09-12OCC曲线投影到面上
    1TopoDS_Edgeedge0=BRepBuilderAPI_MakeEdge(gp_Pnt(0,0,0),gp_Pnt(10,10,10));2Geometry::instance()->addShape(ShapeType::Curve,edge0);34gp_Pntpnt1(0,10,0);5gp_Pntpnt2(10,10,0);6gp_Pntpnt3(10,0,0);7g
  • 2024-09-10数码管学习之路(静动态数码管源码及学习理解)
    1,了解数码管分类及结构    数码管是一种半导体发光器件,其基本单元是发光二极管。数码管按段数一般分为七段数码管和八段数码管,八段数码管比七段数码管多一个发光二极管(多一个小数点显示)。当然也还有一些其他类型的数码管如“N”形管、“米”字管以及工业科研领域用的1
  • 2024-08-29【xilinx】不添加ZYNQ SOC SDK的情况下使用xilinx 的XADC
            可以使用ZYNQSOCSDK驱动和使用XADC,但在一些场合不适合使用PS访问XADC的时候,可以通过原语调用XADC,并且获取读取传感器和外置ADC的参数。纯PL接口访问XADC的方法,代码如下:`timescale1ns/1psmoduleug480(inputDCLK,//Clockinputfor
  • 2024-08-26verilog-UART驱动流程
    目录1.理论介绍       2.verilog代码实现 1.理论介绍               UART(Universalasynchronousreceivers-transmitter,通用异步收发器)数据帧结构如下图,zynq7020-PL侧的时钟频率fclk一般设置为50MHz,假设串口波特率为115200bps,则一个1bit传输需
  • 2024-08-24Verdi Error-[XMRE] Cross-module reference resolution error
    Error-[XMRE]Cross-modulereferenceresolutionerror/opt/xilinx/Vivado/2019.2/data/verilog/src/unisims/OSERDESE2.v,134Errorfoundwhiletryingtoresolvecross-modulereference.token'glbl'.Originatingmodule'OSERDESE2'.
  • 2024-08-22FPGA开发——DS18B20读取温度并且在数码管上显示
    一、简介        在上一篇文章中我们对于DS18B20的相关理论进行了详细的解释,同时也对怎样使用DS18B20进行了一个简单的叙述。在这篇文章我们通过工程来实现DS18B20的温度读取并且实现在数码管伤显示。1、基本实现思路根据不同时刻的操作,我们可以使用一个状态机来实
  • 2024-08-21数字IC/FPGA中有符号数的处理探究
    做秋招笔试题时不出意外地又发现了知识盲区,特此学习记录。1.前提说明        有符号数无非分为两种:正数和负数,其中正数的符号位是0,不会引起歧义,负数的符号为1,采用的是补码表示。    此处复习一下补码的知识:对正数而言原码反码补码一致,负数则有区别,要掌握将
  • 2024-08-20vivado RLOC
    相对位置(RLOC)约束定义了逻辑元素的相对位置分配给一个集合,如H_set、HU_set或U_set。当RTL源文件中存在RLOC时,H_SET、HU_SET或U_SET属性将得到转换为合成网表中单元的只读RPM属性。RLOC属性被保留,但在合成后成为只读属性。了解更多有关使用这些属性和定义RPM的信息,请参阅Vi
  • 2024-08-20IP核之ROM
     创建.coe文件MEMORY_INITIALIZATION_RADIX=16;//表示数据格式为16进制MEMORY_INITIALIZATION_VECTOR=12,//每个数据之间用逗号或空格或换行符隔开。34,56,78,AB;//最后一个数据用分号结束。读取.coe文件并存用数据//固存3个ROM*******************************/
  • 2024-08-20FIFO读数取数
    FIFO:先进先出的缓存器。常应用于带宽不同或者跨时钟域等数据传输情况。相关参数:数据宽度,存储深度,将空标志位。空标志位。将满标志位,满标志位。读写时钟。其中将满信号与将空信号相较于真正的满信号与空信号都会提前一个时钟周期拉高。FIFOgenerator配置注意事项:Basic选项
  • 2024-08-15VL16 使用8线-3线优先编码器Ⅰ实现16线-4线优先编码器
    `timescale1ns/1nsmoduleencoder_83(input[7:0]I,inputEI,outputwire[2:0]Y,outputwireGS,outputwireEO);assignY[2]=EI&(I[7]|I[6]|I[5]|I[4]);assignY[
  • 2024-08-15VL12 4bit超前进位加法器电路
     `timescale1ns/1nsmodulelca_4(  input   [3:0]   A_in ,  input   [3:0]   B_in ,  input         C_1 ,   output wire     CO  ,  output wire[3:0] 
  • 2024-08-09[米联客-安路飞龙DR1-FPSOC] UDP通信篇连载-08 仿真验证
    软件版本:Anlogic-TD5.9.1-DR1_ES1.1操作系统:WIN1064bit硬件平台:适用安路(Anlogic)FPGA实验平台:米联客-MLK-L1-CZ06-DR1M90G开发板板卡获取平台:https://milianke.tmall.com/登录"米联客"FPGA社区http://www.uisrc.com视频课程、答疑解惑! 4仿真验证仿真代码的顶层如下
  • 2024-08-07笔记:从Aurora 8b/10b 到Aurora 64b/66b (三):自定义PHY层收发
    相较于8/10来说没那么复杂,需要考虑的情况只有八种;但是gearbox的控制需要额外的心思:每三十二周期所有操作都需要停止;这一点在收发都需要注意;RX:核心思想是利用header做检测,将夹杂在数据流中的控制包滤除掉;modulegt_phy_rx(inputwirei_rx_clk
  • 2024-08-05【RISC-V设计-05】- RISC-V处理器设计K0A之GPR
    【RISC-V设计-05】-RISC-V处理器设计K0A之GPR1.简介通用寄存器(GeneralPurposeRegister)是处理器设计中的重要组成部分,在数据处理和指令执行过程中发挥着关键作用,对于计算机系统的整体性能和效率有着至关重要的影响。通用寄存器用于传送和暂存数据,也可参与算术逻辑运算,并
  • 2024-07-31FPGA开发——数码管的使用(二)
    一、概述   在上一篇文章中我们针对单个数码管的静态显示和动态显示进行了一个设计和实现,这篇文章中我们针对多个数码管同时显示进行一个设计。这里和上一篇文章唯一不同的是就是数码管位选进行了一个改变,原来是单个数码管的显示,所以位选就直接赋值就可以了,但在本篇文章
  • 2024-07-27【线性序列机-02】- 请求优先的线性序列机
    【线性序列机-02】-请求优先的线性序列机1.功能介绍在第一篇文章中介绍了不同线性序列机的分类,本篇文章将详细介绍其中的一种,请求优先的线性序列机。请求优先的线性序列机是一种在数字电路设计领域中具有独特性质和重要应用的概念或方法。其核心思想是,当计数器在计数过
  • 2024-07-2549.TFT_LCD液晶屏驱动设计与验证(2)
    (1)Visio视图:(2)控制模块Verilog代码:moduletft_ctrl(inputclk_33M,inputreset_n,input[23:0]data_in,output[9:0]hang
  • 2024-07-16Design of a Brake-By-Wire System for Car
     PortfolioforSafety-DirectedDesignofaBrake-By-WireSystemforCarCourseworkfor661985–SafetyCriticalSystemsPart2–DynamicReliabilityAnalysisandSystemAdaptationforElectronicStabilityThePortfolioexplorestheiterativedesignofa
  • 2024-07-14Xilinx Video IP(二)Video In to AXI4-Stream的使用
        通常情况下,使用IP的顺序就是:创建和设置IP、连接输入输出、对IP进行配置(可选)。 创建和设置IP    我们先看一下默认设置以及对应的IP接口。每时钟像素数量    这个很好理解,例如常规解码芯片(如HDMI转RGB)、解串芯片(SDI转BT1120)输出的并行数据
  • 2024-07-07基于FPGA的A律压缩解压缩verilog实现,包含testbench
    1.算法仿真效果VIVADO2019.2仿真结果如下(完整代码运行后无水印):   RTL图如下所示:   2.算法涉及理论知识概要       A律压缩是一种广泛应用于语音编码的非均匀量化技术,尤其在G.711标准中被欧洲和中国等国家采纳。该技术的核心目的是在有限的带宽下高效传输
  • 2024-07-05FPGA加扰与仿真
    对加扰仿真,输出结果符合预期 仿真代码如下 modulescrambler_64bit(inputwireclk,inputwirerst,inputwire[63:0]data_in,outputreg[63:0]data_out);reg[63:0]state;always@(posedgeclkorposedgerst)beginif(rst)begin