Clk
  • 2024-09-29sdram控制器设计(五)- 读操作问题排查
    实验背景在(四)中介绍了sdram读操作的实现和仿真过程,现在介绍读操作实现过程中出现的一些问题。实验内容介绍sdram控制器读模块的实现和仿真验证过程中的问题。实验步骤在(四)中,读操作的波形图如下:写数据正常,从sdram的sdram_dq(与读模块read_dq连接)端口读出的数据也是正确
  • 2024-09-14fpga学习日志
    学习目标:高速、复杂协议或算法、神经网络加速本学习是以赛灵思Xilinx的Vivado为开发1.底层结构:FPGA主要有六部分组成:可编程输入输出单元(IO)、可编程逻辑单元(CLB)、完整的时钟管理、嵌入块状RAM、布线资源、内嵌的底层功能单元和内嵌专用硬件模块。其中最为主要的是可编程输出
  • 2024-09-13SPI协议看这一篇就够了!(图文+代码+解析+仿真)
    目录SPI协议简介SPI工作原理实验需求模块图时序图小结SPI协议简介1.高速传输,SPI作为三大低速总线(UART、IIc、SPI)之一,其传输速度是这个个中最快的一个。它是一种高速、全双工、同步串行通信总线。所谓高速,指的是传输速度,最高能达到几十M/s,具体速度取决于硬件实现和时钟
  • 2024-09-13Vivado IP综合选项Global和Out-Of-Context区别
    当我们在使用Vivado进行IP综合时,通常需要选择适合当前设计的综合选项,其中全局综合和上下文外综合是两个常用选项,但它们之间有哪些差别呢?首先全局综合会将整个设计代码一起综合成为一个顶层模块,这种综合方式可以提高设计的时序分析和优化效率,同时也能避免时间浪费在综合子
  • 2024-09-09HNU-2023电路与电子学-实验4
    写在前面:本次实验是完成cpu设计的时序部件,整体难度较小但涉及板块较多,细心完成就能顺利通过全部测评一、实验目的1.了解模型机中SM的作用。2.熟悉指令寄存器、状态寄存器、指令计数器、寄存器的工作原理3.学会使用VERILOG语言设计时序电路。二、实验内容1.用VER
  • 2024-09-08[IC后仿] timing violation实例分析
    文章目录1-前言2-问题描述与分析3-解决方案与验证1-前言IC后仿中出现了一个Timingviolation问题,在此做出分析,并给出解决方案。2-问题描述与分析IC后仿中发生Timingviolation问题:后仿log:"/home/xx/xx.v",15691:Timingviolationintb_top.xx\cc_dly_reg[0
  • 2024-09-07用串口来发送信号发生器的数据
    一、在串口模块的基础上加一点修改1.这一段讲做什么我的博客是连续剧,觉得有参考/纠错/鼓励的价值的话,我是非常期待能与大家多多交流的呀小梅哥的视频P14集,后半部分讲,能不能通过在大模块中操作一个控制信号send_go,进而影响子模块(就是串口模块,以下称串口模块)种的send_en信号,进而
  • 2024-09-06verilog仿真激励
    简介    本章节主要描述verilog激励仿真函数的介绍。initial    主要针对寄存器初始化值,基本所有仿真都会使用到该语句,使用如下:initialbegin sys_clk='d0; sys_rst_n='d0; #2000; sys_rst_n='d1;endrepeat    重复有限次数地执
  • 2024-09-06KUnit:设备模拟&重定向
    设备模拟有些驱动文件是需要device的,所以KUnit提供了一些设备模拟的方法,并且还提供了总线来管理设备的生命周期。下面先以clockdevice模拟举例(drivers/clk/clk_test.c)首先用一个struct来模拟这个clk设备。其中clk_hw是clk的描述,rate相当于模拟设备的波特率寄存器structclk
  • 2024-09-05串口发送数据
    一、这是一篇描述怎么用串口发送数据的文章1.设计思想稍微介绍一下这个项目想要完成的效果是:每隔10ms,用串口发送一次数据。前一个项目完成了如何用串口发送8bit,这个项目是在前一个的基础上,写一个涵盖它的大模块。大模块把send_en、data信号传给子模块,子模块有了激励信号(而不用
  • 2024-09-04stm32之外部flash下载算法
    文章目录下载算法下载到芯片的核心思想算法程序中擦除操作执行流程擦除操作大致流程:算法程序中编程操作执行流程算法程序中校验操作执行流程创建MDK下载算法通用流程第1步,使用MDK提供好的程序模板第2步,修改工程名第3步,修改使用的器件第4步,修改输出算法文件的名字第5步,
  • 2024-09-04iverilog+gtkwave搭建轻量级verilog仿真环境
    前言在之前用到的仿真工具只有vivado与modelsim,vivado的笨重不用多说,可能你搭建一个工程的时间比你看波形的时间还要长,modelsim倒是稍微轻一些,但步骤也较为繁琐,虽然我在之前也意外收获了modelsim的仿真脚本模板且屡试不爽,但还是觉得稍微有些麻烦,正好之前在学习tinyrv时安装了iver
  • 2024-08-29bink LED
    FPGA学习笔记1本系列文章用于记录FPGA学习过程,类似流水账,不做总结提炼.blinkLED设计模块化设计,这里分为分频器,led控制器,复位信号产生器.实现分屏器moduleClk_div#(parameterDIV=5000)(inputi_clk,inputi_rst,outputo_clk_div);
  • 2024-08-29VL25 输入序列连续的序列检测
    这个题目的意思是输入是单bit脉冲,然后当8个周期的脉冲序列符合给定的参数值则match输出1;因此肯定需要一共8位的寄存器存储总共8个a的输入脉冲此外由于是从左向右匹配,因此每个周期输入的a要从寄存器最低位输入,从右向左移位(temp_a<={temp_a[6:0],a};),这样才是输入满足条件的011100
  • 2024-08-20vivado RLOC
    相对位置(RLOC)约束定义了逻辑元素的相对位置分配给一个集合,如H_set、HU_set或U_set。当RTL源文件中存在RLOC时,H_SET、HU_SET或U_SET属性将得到转换为合成网表中单元的只读RPM属性。RLOC属性被保留,但在合成后成为只读属性。了解更多有关使用这些属性和定义RPM的信息,请参阅Vi
  • 2024-08-18频率检测计
    前言        频率计是一种用于测量信号频率的仪器。它可以准确地确定电子信号的频率,广泛应用于电子设备的测试和维护中。频率计的工作原理通常包括对输入信号进行采样,并通过内部电路计算信号的周期,从而得到频率值。现代频率计通常具有高精度、高稳定性,并且能够测量从
  • 2024-08-16有符号浮点运算的基本步骤:以双线性插值为例
    参考:韩彬的图像处理书、无双软件学院方法。步骤一:无损定点化浮点数在硬件计算中首先需要做的便是定点化,一般是左移一定位宽,可以是2048或4096;这个过程要注意保障无损;步骤二:运算和位宽匹配;要确定所有参与计算的数小数位位宽是匹配的,否则无法进行任何层次的计算;需要特别注意很
  • 2024-08-03【RTT-Studio】详细使用教程七:SGM5352外部DAC使用
    文章目录一、简介二、RTT时钟配置三、初始化配置四、完整代码五、测试验证一、简介本文主要介绍使用RTT-ThreadStudio来驱动SGM5352芯片的使用,该芯片主要是一个低功率,4通道,16位,电压输出DAC。它从2.7V到5.5V,设计保证了单调性。SGM5352-16通过使用外部参考电压来设置
  • 2024-08-02FPGA知识基础之--500ms计数器,边沿检测,按键消抖
    目录前言一、边沿检测1.1使用背景1.2方法:打拍法1.2.1背景1.2.2原理1.2.3上升沿二、计数器2.1原理2.2RTL代码三、按键消抖前言一、边沿检测1.1使用背景在我们设计电路时,经常会遇到需要继续检测上升沿和下降沿的电路,因此需要对边沿继续检测1.2方法:打
  • 2024-07-31FPGA开发——按键控制LED的实现
    一、概述在上一篇文章中我们学习了按键的相关消抖及其使用,在这篇文章当中我们就针对通过按键实现LED的控制。1、按键原理图2、基本框架通过我们前面编写的按键消抖的文件和LED文件将按键和LED两个模块进行交互,从而达到按键控制LED的目的。 二、代码编写1、首先是按键
  • 2024-07-31FPGA开发——数码管的使用(二)
    一、概述   在上一篇文章中我们针对单个数码管的静态显示和动态显示进行了一个设计和实现,这篇文章中我们针对多个数码管同时显示进行一个设计。这里和上一篇文章唯一不同的是就是数码管位选进行了一个改变,原来是单个数码管的显示,所以位选就直接赋值就可以了,但在本篇文章
  • 2024-07-31FPGA开发——按键控制数码管的设计
    一、概述按键控制数码管是一种常见的电子显示技术,它结合了按键输入与数码管显示的功能。在这一设计中,用户通过按下不同的按键来发送指令,这些指令随后被处理并转换为数码管上显示的数字或字符。按键通常作为输入设备,通过电路连接到微控制器(如FPGA、单片机等)的输入引脚,而数码管
  • 2024-07-31[米联客-安路飞龙DR1-FPSOC] FPGA基础篇连载-24 基于FPGA简易示波器显示驱动设计
    软件版本:Anlogic-TD5.9.1-DR1_ES1.1操作系统:WIN1064bit硬件平台:适用安路(Anlogic)FPGA实验平台:米联客-MLK-L1-CZ06-DR1M90G开发板板卡获取平台:https://milianke.tmall.com/登录"米联客"FPGA社区http://www.uisrc.com视频课程、答疑解惑! 1概述FPGA在数据采集,数据处理
  • 2024-07-29FPGA知识基础之---呼吸灯实验
    目录一、呼吸灯简介1.定义2.特点3.工作原理PWM(脉冲宽度调制)二、实验任务三、PVM(PulseWidthModulation)简介3.1工作原理3.2优点3.3应用领域四、程序设计1、思路整理2、系统框图3、波形图4、呼吸原理五、RTL代码六、仿真分析6.1Testbench代码6.2Modelsim仿真波形
  • 2024-07-29[米联客-安路飞龙DR1-FPSOC] FPGA基础篇连载-14 SPI MASET发送程序设计
    软件版本:Anlogic-TD5.9.1-DR1_ES1.1操作系统:WIN1064bit硬件平台:适用安路(Anlogic)FPGA实验平台:米联客-MLK-L1-CZ06-DR1M90G开发板板卡获取平台:https://milianke.tmall.com/登录"米联客"FPGA社区http://www.uisrc.com视频课程、答疑解惑! 1概述SPI的发送器驱动