首页 > 其他分享 >【Xilinx】vivado methodology检查中出现的critical Warning

【Xilinx】vivado methodology检查中出现的critical Warning

时间:2024-05-31 15:30:30浏览次数:7  
标签:set false clock 约束 vivado methodology critical path 时钟

问题

TIMING #1 Warning An asynchronous set_clock_groups or a set_false path (see constraint position 118 in the Timing Constraints window in Vivado IDE) between clocks gtwiz_userclk_rx_srcclk_out[0] and mmcm_clkout0 overrides a set_max_delay -datapath_only (position 125). It is not recommended to override a set_max_delay -datapath_only constraint. Replace the set_clock_groups or set_false_path between clocks with point-to-point set_false_path constraints

        这个时序警告指出在Vivado设计套件中存在一个配置问题,具体来说,是关于时钟域之间的约束冲突。

警告详情

  • 问题: 存在一个异步的 set_clock_groups 或者一个 set_false_path 约束,这与另一个 set_max_delay -datapath_only 约束相冲突。
  • 时钟: 警告涉及到的时钟是 gtwiz_userclk_rx_srcclk_out[0] 和 mmcm_clkout0
  • 约束位置: 提到的约束在 Vivado IDE 的 "Timing Constraints" 窗口中的约束位置分别为 118 和 125。

解决方法

  1. 检查时钟约束:打开 Vivado IDE 并检查 "Timing Constraints" 窗口,找到位置 118 和 125 的约束。

  2. 理解约束含义

    • set_clock_groups: 用于定义时钟组,可能涉及到时钟之间的关联性。
    • set_false_path: 用于指定不应在时序分析中考虑的路径,常用于异步时钟域之间的信号传输。
    • set_max_delay -datapath_only: 用于设置数据路径上的最大延迟。
  3. 修改约束:根据警告信息,建议不要使用 set_clock_groupsset_false_path 来覆盖 set_max_delay -datapath_only 约束。可能需要重新配置这些约束,以确保它们不会相互冲突。

  4. 使用点到点约束:警告建议用点到点的 set_false_path 约束来替换当前的约束。点到点约束可以更精确地指定哪些路径应该被忽略,而不是整个时钟域。

  5. 重新运行时序分析:在修改约束后,重新运行时序分析以确保问题得到解决。

  6. 考虑设计意图:在修改约束之前,需要考虑设计的整体意图和要求,以确保修改不会影响设计的性能和功能。

  7. 寻求帮助:如果不确定如何修改约束,可以寻求 Xilinx 技术支持或在技术社区中寻求帮助。

是否需要改动设计

  • 如果警告是由于约束配置不当导致的,那么通常不需要改动设计本身,而是需要调整约束文件。
  • 如果警告指出了设计中的潜在问题,比如不恰当的时钟域处理,那么可能需要对设计进行一些调整。

        在处理这类问题时,重要的是要仔细审查约束并理解它们的相互作用,以确保时序分析的准确性和设计的可靠性。

 

标签:set,false,clock,约束,vivado,methodology,critical,path,时钟
From: https://blog.csdn.net/sqqwm/article/details/139352910

相关文章

  • Vivado入门教程
    VIVADO开发流程分析完逻辑功能后可以查看RTL分析来可视化原理图,双击可查看细节。逻辑综合生成的原理图和RTL生成的原理图是不一样的,这里是与LUT相关的。综合结束后可以进行引脚约束。引脚约束文件和仿真文件和源文件的创建是一样的,也在DDsources中进行创建。在源文件中......
  • Archery 平台执行DDL error:Threads_connected exceeds its critical threshold
    在数据库管理平台Archery上定时任务执行DDL操作,第二天发现报错,报错信息如下:Errorcopyingrowsfrom`DB`.`TABLE_NAME`to`DB`.`_TABLE_NAME_new`:Threads_connected=1358exceedsitscriticalthreshold1300登录查看Threads_connected信息,Threads_connected一直处于大......
  • modelsim安装与vivado联仿
    从网上下载安装包网上资源很多,需要注意要下载与vivado版本相匹配的modelsim版本。查看对应关系可以看网址下载完包后将crack.bat、MentorKG.exe拷贝到modelsim安装目录的win64文件夹下,例如D:\modelsim\win64pe双击crack.bat,看是否报错。若报错找不到mgls.dll,将mgls64.dll重命......
  • A Critical Study on Data Leakage in Recommender System Offline Evaluation
    目录概主要内容数据集统计信息Top-NRecommendationListRecommendationAccuracy理想的切分方式代码JiY.,SunA.,ZhangJ.andLiC.Acriticalstudyondataleakageinrecommendersystemofflineevaluation.TOIS,2022.概本文讨论了现在的推荐系统评价方式(如L......
  • [Place 30-575]VIVADO 布局布线bug
     开始怀疑是约束文件有问题,把输入引脚的位置错误约束了,但是并没有,DDR的输入时钟也是用的bank33,电平、引脚约束也没错(AlinxAX7325B开发板) 尝试按照建议添加set_propertyCLOCK_DEDICATED_ROUTEBACKBONE,但是imple仍然报该错误,并且综合提示setproperty为空? 原代码中ddr参......
  • 使用Vivado Design Suite进行物理优化(二)
    物理优化是对设计的negative-slack路径进行时序驱动的优化。而phys_opt_design命令是用于对设计进行物理优化。这个命令可以在布局后的后置模式(post-placemode)中运行,也就是在放置所有组件之后;还可以在完全布线后的后置模式(post-routemode)中运行,即在设计完全布线之后。一......
  • TQZC706开发板教程:使用Vivado和Vitis烧写flash
    本文所使用的文件链接:https://pan.baidu.com/s/1ZqjfJMZasteJDfZ9qcKAFQ提取码:peqa无论采用何种方式烧写Flash,都务必准备好相应的烧写文件。我在上面的链接中已提供了测试文件供您参考。烧写Flash时通常需要两个文件:BOOT.bin和zynq_fsbl.elf,请确保您已备齐这两个文件,以便......
  • vivado 使用“Set Up Debug”Wizard 来插入调试核
    使用“SetUpDebug”Wizard来插入调试核标记要调试的信号线(net)后,下一步是将其分配到调试核。VivadoDesignSuite提供了易于使用的“设置调试(SetupDebug)”Wizard,以帮助逐步指导您完成自动创建调试核并将调试信号线分配至核的输入的整个过程......
  • vivado 探针用作为数据和/或触发器、使用 XDC 命令来插入调试核
    您可在Vivado硬件管理器中自定义探针,将其用作为数据和/或触发器。如果探针参与触发或采集比较值,则应将其配置为仅限“触发器”探针。这样即可最优化ILA核使用BRAM的方式。通常,如需采集探针数据,则应将其配置为仅限“数据”探针。如果探针同时参......
  • vivado向赛灵思器件添加配置存储器器件
    使用VivadoIDE右键单击SVF链中的赛灵思器件时,可以选择创建配置存储器器件,并将配置存储器器件与该器件关联。这样会打开“添加配置存储器器件(AddConfigurationMemoryDevice)”对话框,如下所示。选择相应的存储器器件,然后单击“OK”。......