首页 > 其他分享 >AHB_SRAMC_UVMTB

AHB_SRAMC_UVMTB

时间:2024-03-21 15:14:55浏览次数:16  
标签:AHB SRAMC 脚本 验证 平台 生成 UVM spec UVMTB

如何开展验证的工作?

  • 拿到设计spec,理解和分析spec
  • 写验证计划:验证功能点提取,规划test,tb架构,用什么方法学,功能覆盖率的提取coverpoint,检查机制.....)
  • 搭建验证环境(UVM TB) coding
  • 编写用例测试,调试debug,发现RTL的bug
  • regression(跑不同的seed)
  • coverage(code function)分析,加一些tests
  • 验证报告

理解spec

  • 从设计的拓扑结构上进行理解
  • 从主要功能上进行理解spec
  • 从总线协议的时序上理解
  • 从数据流的方向上进行理解,分析数据流的时候往往需要分析寄存器和接口

DUT spec

AHB_SRAMC UVMTB的验证功能

如何构建UVMTB的通用型代码

应用自动生成平台脚本的前提条件

应用自动生成平台脚本的效果

自动生成平台脚本中UVM相关处理

自动化生成平台脚本中应用到的UVM基础知识

完整的UVM验证平台基础知识梳理

自动生成平台脚本的输入参数

自动生成平台脚本的变量定义

自动生成平台脚本的函数定义

自动生成平台脚本的命令行解析

脚本使用



标签:AHB,SRAMC,脚本,验证,平台,生成,UVM,spec,UVMTB
From: https://www.cnblogs.com/Icer-newer/p/18086978

相关文章

  • SVTB SRAMC - 4
    referencemodel用于模拟RTL的行为,产生希望的值driver的驱动给到referencemodel和dut,dut产生的结果和referencemodel产生的结果在scoreboard中进行比对CodedriverscoreboardTestgeneratortips通过设置mode可以进行测试场景的多样化优化点f......
  • SVTB SRAMC - 3
    ahb_sramc控制器补充说明时序处理访问地址一个地址的大小是1bytebank选择使用地址的最高bit1bit+13bit+2bit=16bit,最高bit选择bank,低两bit选择哪几个memory8/16/32bit访问timingcheck测试小技巧如果测试一个8k的memory,可以利用循环将memory中每个地址写......
  • SVTB SRAMC - 2
    内容写验证计划需要参照designspecAHB-SRAMC功能验证计划SVTB架构图验证平台目录结构ahb_sramc_svtbdoc-文档rtl-dutverif-验证代码envagentsimtbtest如何编写SVTBMakefilefilelistSVTBTOPsvtb:1.根据spec......
  • SVTB SRAMC - 1
    AHB-SoC芯片架构地址空间各个IP都有自己的地址空间,CPU根据地址空间进行访问外设Spec内容FeaturesSpecificationArchitectureTiming:BasicTransferAHBTransactionExampleAHBBurstTransactionAHBResponseAHBSlaveInterfaceSRAMSpecification......
  • APB_AHB_AXI协议的简单介绍
    一、AMBA概述今天要介绍的三种嵌入式总线技术:APB、AHB、AXI,它们都属于AMBA片上总线协议。所以,在介绍这几种总线技术之前,有必要先了解一下AMBA片上总线协议是什么。AMBA(AdvancedMicrocontrollerBusArchitecture),全称叫作高级微控制器总线架构,它是由ARM公司研发推......
  • AHB Matrix
    常用的AHBBus结构AHBMatrixAHBBusMatrix,即总线矩阵,其实际上就是一个互连(Interconnect)。用于连接满足该总线协议的外设,包括Master和Slave。基于该模块,我们可以快速的完成“连连看”工作。将设计好的IP封装成AHB协议,然后挂载上去即可。这样就完成了简单的SoC集成工作。将......
  • AHB to Sram设计
    规格说明现在要对addr1进行操作(原addr1中存储的数据为data),现在需要写入data1,下一拍对addr1进行读操作,需要读出data1(读出最新的数据data1,而不是data),这时候需要一个buffer,将上一拍的data1作为读数据进行返回,因为data1可能还没有写到sram中.接口AHB读时序AHB写时......
  • AHB2APB设计
    AHB2APBBridge位置AHB子系统时钟在200Mhz左右,APB时钟在几十Khz到几十Mhz所以要进行跨时钟域处理,从AHB高时钟频率转到APB低时钟频率AHB2APBBridge规格说明Bridge是APB总线上唯一的主机(也可以通过设计使APB支持多个Master)AHB2APBBridge接口Bridge是连接AHB总线和AP......
  • AHB-SRAMC Design-03
    SRAMCSRAMCORE8块memory进行广播信号,例化8片memory......
  • AHB
    AHB目录AHBAHB_full与ahb_lite的区别Multi-LayerAHBSystem(多层AHB总线架构)busy传输busy传输禁止发生在single传输在busy传输后终止突发传输提前终止突发single传输AHB中文翻译介绍关于协议主机从机互连AHB修订版(略)操作信号描述全局信号主机信号从机信号解码器信号多路复用......