首页 > 其他分享 >UVM - 5 (Lab 1)

UVM - 5 (Lab 1)

时间:2024-03-14 14:44:54浏览次数:16  
标签:sequence utils component sv Lab UVM 注册 uvm

DUT的RTL文件:router.v

testbench架构

Flow

test.sv

test_base.sv




  • 注册的时候,以uvm_component扩展出来的类,在注册的时候要使用uvm_component_utils()注册,以uvm_transaction,uvm_sequence扩展出来的类需要用uvm_object_utils()注册
// 以uvm_component扩展出来的类
uvm_component_utils()注册
// 以uvm_transaction,uvm_sequence扩展出来的类
uvm_object_utils()注册

router_env.sv


input_agent.sv


driver.sv



packet_sequence.sv


VCS Makefile


标签:sequence,utils,component,sv,Lab,UVM,注册,uvm
From: https://www.cnblogs.com/Icer-newer/p/18072678

相关文章