首页 > 其他分享 >UVM - 4 (UVM验证平台)

UVM - 4 (UVM验证平台)

时间:2024-03-14 13:44:05浏览次数:24  
标签:仿真 phaze sequence 平台 验证 uvm class UVM

Review

  • this - 指的是当前的类
  • 双冒号进行索引 - 静态方法或者是静态变量
  • 在类之外写函数也可以使用双冒号进行索引,双冒号指定作用域
  • 所有的phaze是UVM中已经定义好的,不需要显式调用

TLM - 事务级传输

  • UVM中组件之间的通信使用的是TLM,不使用mailbox

uvm_sequence_item class

  • 不属于uvm的组件,不会进行例化
  • TLM相关的类也不是uvm组件
  • 只有从uvm_component扩展出来的类才叫做组件,才会有phaze的概念
  • 所有的uvm的class都必须添加宏,不同的宏有不同的含义
class transaction extends uvm_sequence_item;
// 将transaction,当前的类传递给factory的restry
`uvm_object_utils_begin(transaction)
  // UVM_ALL_ON - 宏创建的内置方法,对变量都适用
  `uvm_filed_int(addr,UVM_ALL_ON);
  `uvm_filed_quene_int(data,UVM_ALL_ON);
`uvm_object_utils_end

endclass

// 宏创建了数据操作方法,copy(),print()等
// UVM_ALL_ON - 打开之后,可以使用tr.print()打印数据

uvm_sequence class

  • sequence中的body产生事务
  • run phaze中定义了很多task,会消耗仿真时间,其他的phaze都是function,不消耗仿真时间,run phaze执行完成之后,仿真就结束了
  • objection机制用于同步时序

uvm_sequencer_class

uvm_driver class

uvm_agent class

uvm_env class

  • env中不会选择sequence,只是例化

uvm_test class

test program

uvm消息服务



UVM消息的过滤

UVM默认的仿真过程控制

命令行处理器

在仿真时调用objection

UVM基类树

UVM基类树

VCS Makefile


标签:仿真,phaze,sequence,平台,验证,uvm,class,UVM
From: https://www.cnblogs.com/Icer-newer/p/18072218

相关文章

  • 全新特征平台 FeatInsight 测试平台上线,现已开放抢先体验!
    OpenMLDB社区开源特征平台产品-FeatInsight(https://github.com/4paradigm/FeatInsight),现已开放测试平台@http://152.136.144.33/,联系我们获取用户名及密码,抢先体验!FeatInsight的主要目标是解决机器学习项目中常见的问题,包括简便快捷地进行特征提取、转换、组合、选择以及血......
  • 在springboot中使用拦截器+JWT验证token的流程
    我的计算机设计大赛的项目需要用到JWT来进行用户身份验证,项目采用springboot技术,因为我没学过springSrcurity所以只能用原生的拦截器+JWT技术进行验证,我是跟着【SpringBoot整合JWT】这篇文章做的,老师讲的很详细跟着一步一步来也可以实现JWT身份验证,但是对于验证过程的整个流程......
  • Tiktok/抖音旋转验证码识别方案
    一、引言在数字世界的飞速发展中,安全防护成为了一个不容忽视的课题。Tiktok/抖音,作为全球最大的短视频平台之一,每天都有数以亿计的用户活跃在其平台上。为了保护用户的账号安全,Tiktok/抖音引入了一种名为“旋转验证码”的安全验证方式。本文将深入解析Tiktok/抖音旋转验证码......
  • 2024-03-11-Nodejs(3-数据库与身份验证)
    3.数据库与身份验证3.1数据库基本概念数据库是用来组织、存储和管理数据的仓库;传统数据库中,数据结构分为数据库(database)、数据表(table)、数据行(tow)、字段(field)四大部分。3.2配置mysql模块安装mysql模块npminstallmysql建立连接constmysql=require('mysql')......
  • Oracle EMCC数据库集中管理平台安装配置与使用
    一、风哥OracleEMCC数据库集中管理平台培训本课程由风哥发布的OracleEMCC课程,学完本课程可以掌握OracleEMCC基础知识,OracleEMCC安装要求,ORACLEEMCCforLinux环境准备,ORACLEEMCCOMR资料数据库安装,OracleEMCC管理平台安装,OracleEMCC启动停止与基本命令,OracleEMCC管理主......
  • 使用Docker部署带密码验证的Redis
    使用Docker部署Redis时使用的是默认配置文件不带鉴权需要鉴权可以把设置写到配置文件后通过Dockerfile生成新的镜像redis配置文件#catredis.confbind0.0.0.0port6379timeout0tcp-keepalive300daemonizenodatabases16save9001save30010save6010000#......
  • 解决尚品甄选验证码图片无法显示bug
    按照他的视频要求去做发现图片无法正常显示,通过查看浏览器网络错误,发现请求验证码的网址是重叠的http://localhost:3001/admin/system/index/login/admin/system/index/generateValidateCode是这样的,说明baseUrl是/admin/system/index/login导致的,所以我对前端文件api/login.js......
  • 【前端素材】推荐优质在线绿色有机果蔬商城网页Fulo平台模板(附源码)
    一、需求分析绿色新鲜有机果蔬商城是指一个专门销售绿色、有机、新鲜水果和蔬菜的在线平台,旨在为用户提供优质的、健康的食品购物体验。1、功能分析:绿色新鲜有机果蔬商城是指一个专门销售绿色、有机、新鲜水果和蔬菜的在线平台,旨在为用户提供优质的、健康的食品购物体验。下......
  • java毕业设计小众咖啡店推荐平台的设计与实现(springboot+mysql+jdk1.8+meven)
    本系统(程序+源码)带文档lw万字以上 文末可领取本课题的JAVA源码参考系统程序文件列表系统的选题背景和意义选题背景在当今社会,咖啡已经成为许多人日常生活中不可或缺的一部分。随着人们生活水平的提高和消费观念的转变,越来越多的人开始追求个性化和高品质的咖啡体验。小......
  • java毕业设计线上教学平台(springboot+mysql+jdk1.8+meven)
    本系统(程序+源码)带文档lw万字以上 文末可领取本课题的JAVA源码参考系统程序文件列表系统的选题背景和意义选题背景随着互联网技术的迅猛发展,线上教育已经成为了现代教学体系中不可或缺的一部分。尤其是在全球性的公共卫生事件影响下,线上教学平台显示出了其独特的优势和......