首页 > 其他分享 >Modelsim+vivado

Modelsim+vivado

时间:2023-05-15 22:22:16浏览次数:30  
标签:bat vivado Modelsim patch 物理地址 mgls attrib dll

  1. Preparation
  • modelsim se 10.4

2.破解

  • 将MentorKG.exe和patch_dll.bat拷贝到安装目录下
  • 修改patch_dll.bat为以下内容
@echo off
attrib -r mgls.dll
attrib -r mgls64.dll
MentorKG.exe -h your-物理地址 -patch .

pause

attrib -r mgls.dll
attrib -r mgls64.dll

物理地址获取方法:右下角wifi下点击网络和internet设置-查看硬件和连接属性-第一个以太网的物理地址MAC--记得去掉冒号

  • 取消勾选mgls.dll和mgls64.dll的只读属性
  • 双击运行patch_dll.bat生成license
  • 保存到安装目录
  • 配置环境变量
    MGLS_LICENSE_FILE 安装路径

标签:bat,vivado,Modelsim,patch,物理地址,mgls,attrib,dll
From: https://www.cnblogs.com/dzw9/p/17403333.html

相关文章

  • FPGA IP 源码解密 Vivado加密的IP文件解密复原为Verilog或者VHDL源码 Mo
    FPGAIP源码解密Vivado加密的IP文件解密复原为Verilog或者VHDL源码Modelsim可以编译仿真的vp加密文件均可以解密复原为Verilog或者VHDL源码符合P1735格式保护的代码基本都可以解密还原源代码ID:39188688193060201......
  • vivado和questasim联合开发环境搭建
    vivado2018.3与questasim10.6c联合开发环境搭建vivado2018.3安装略questasim10.6.c安装下载链接:https://pan.baidu.com/s/1UtPk8cM5OCzgOG32opwddA?pwd=xjy1提取码:xjy1安装以管理员权限运行安装包一路下一步注意这一步:破解复制'patch_dll.bat'和'......
  • Verilog实现FIR低通滤波器,vivado平台开发,包含testbench
    1.算法仿真效果vivado2019.2仿真结果如下:    2.算法涉及理论知识概要       FIR(FiniteImpulseResponse)滤波器:有限长单位冲激响应滤波器,又称为非递归型滤波器,是数字信号处理系统中最基本的元件,它可以在保证任意幅频特性的同时具有严格的线性相频特性,同时其......
  • vivado ILA更改设置
    更改检测端口双击ila_0,可以修改检测端口数与位数。更改检测模块直接代码中修改,子模块也可以调用设置的ilaip硬件检测界面添加信号更改检测端口后,编译过后界面并不会自动添加检测信号,可以手动添加。......
  • VIVADO 进阶
    原则合适的代码风格精准的时序约束管理高扇出网络层次化设计结构处理跨时钟域设计少而精的物理约束选择实现策略共享控制信号读懂日志报告TCL作用代码风格  高扇出网络  高扇出网络几乎是限制FPGA设计实现更高性能的第一大障碍,所以......
  • vivado 仿真查看内部信号
    vivado仿真时默认只查看testbench里的端口。如果想查看testbench调用模块的内部信号的仿真结果,可以如下图所示查看:点击调用的module,右击想查看的信号->addtowavewindow......
  • Quartus Prime-can't launch the ModelSim software 的解决办法
     19.1版本的QuartusPrime Lite版本,安装了免费版的modelsim,已经设置了modelsim的路径: 但是还是提示: 打开Setting这里设置选中Modelsim-Altera 就可以了: ......
  • FPGA终于可以愉快地写代码了!Vivado和Visual Studio Code黄金搭档
    ​ 如果你是一位FPGA开发者,那么你一定会对VIvado这款软件非常熟悉。但是,对于vivado兼容的第三方编辑器软件,你知道VisualStudioCode吗?这是个非常不错的选择,VisualStudioCode搭配众多插件,能让你FPGA开发如虎添翼,效率飞升!别犹豫了,赶紧来看看本文,把这款神器装起来吧!VisualStud......
  • 【FPGA】vivado使用时的问题汇总
    今天在使用vivado的时候,出现了之前的错误,但是我忘记了解决方案,只能再去网上找方法。所以我建了个这个问题汇总博客,以后再碰到问题可以先来这里翻一翻。1、MIGIP核在重新打开工程的时候会丢失一些文件,导致无法仿真,需要重新生成一遍IP核才能够正常使用。同样的,在OpenIPExample......
  • vivado ILA ip核使用
    新建ip核心ip核设置调用ip核根据模板在.v文件中调用ILAip核......