首页 > 其他分享 >牛客小白月赛65 D-牛牛取石子(博弈论)

牛客小白月赛65 D-牛牛取石子(博弈论)

时间:2023-01-08 17:35:30浏览次数:68  
标签:石子 堆取 LL 牛牛取 cin 牛客 flag 65

https://ac.nowcoder.com/acm/contest/49888/D

题目大意:
  
一共有两堆石子,第一堆a个,第二堆b个,牛牛(先手)和牛妹轮流取石子:2种方案种挑一种 

1. 第一堆取 1个,第二堆取 2个
2. 第一堆取 2个,第二堆取 1个

问谁会获胜?
输入 
2
1 2
3 3
输出 
niuniu
niumei

打表即可

#include<bits/stdc++.h>
using namespace std;
typedef long long LL;
typedef pair<LL,LL> PII;
const LL MAXN=1e18;
const LL N=10200,M=2002;
//unordered_map<LL,LL> a[N];
//priority_queue<LL> pq;
//priority_queue<LL,vector<LL>,greater<LL>> pq2; 
int main()
{
    cin.tie(0); cout.tie(0); ios::sync_with_stdio(false);
    LL T=1;
    cin>>T;
    while(T--)
    {
        LL n,m;
        cin>>n>>m;
        LL flag;
        if(n>=m) flag=m/3;
        else flag=n/3;
        n-=flag*3;
        m-=flag*3;
        if((n<=1&&m<=1)||n<1||m<1) cout<<"niumei"<<endl;
        else cout<<"niuniu"<<endl;
    }
    return 0;
}

标签:石子,堆取,LL,牛牛取,cin,牛客,flag,65
From: https://www.cnblogs.com/Vivian-0918/p/17034951.html

相关文章

  • 牛客进阶题目12:重叠序列检测
    注意看波形,flag相对于data的输入延迟两拍。也就是在输入1011后,第一拍进行检测,第二拍拉高flag。`timescale1ns/1nsmodulesequence_test2( inputwireclk, inputw......
  • 牛客2022跨年场
    B.分赃首先统计只有一个的数字个数,如果是偶数就平均分给两个人,然后把剩下的数字全部分给任意一个人。如果是奇数个,就看时候有数字的数量大于三,如果有,就把这个数字的其中......
  • leetcode-1658. 将 x 减到 0 的最小操作数
    正向双指针有点麻烦,但是能通过,先提交一下,待我学习一下其他的解法再来提交这个里面不用对opNum进行计数,可以利用left和right的位置计算出来左右两边的长度,可以省略一些,这......
  • 牛客进阶题目11:非重叠的序列检测
    可以用状态机也可用移位寄存器注意题目给rst的命名不带n后缀,但其实还是下降沿触发`timescale1ns/1nsmodulesequence_test1( inputwireclk, inputwirerst,......
  • Codeforces - 1656E - Equal Tree Sums(构造 + 树论 + 图论 + 搜索、结论题、*2200)
    1656E-EqualTreeSums(⇔源地址)目录1656E-EqualTreeSums(⇔源地址)tag题意思路AC代码错误次数:0tag⇔*2200、⇔构造、⇔树论、⇔图论、⇔搜......
  • 牛客进阶刷题10:整数倍数据位宽转换8to16
    比非整数倍简单`timescale1ns/1nsmodulewidth_8to16( input clk , input rst_n , input valid_in , input [7:0] data_in ,......
  • 牛客进阶刷题9:非整数倍数据位宽转换8to12
    输入位宽8bit,输出位宽12bit,也就是说每三个输入数据可以生成两个完整输出。注意给出的波形是data_lock而不是data_in,这是陷阱。data_lock是data_in打了一拍的结果。用一......
  • 牛客进阶刷题8:非整数倍数据位宽转换24to128
    第一阶段:120bit+8bit第二阶段:16bit+96bit+16bit第三阶段:8bit+120bit所以相当于发送了16个24bit数据,作为一个循环。第6、第11两个数据被拆开使用。根据上述分析可知,缓存......
  • 牛客小白月赛65ABCD(E)
               比赛链接:牛客小白月赛65_ACM/NOI/CSP/CCPC/ICPC算法编程高难度练习赛_牛客竞赛OJ(nowcoder.com)A:牛牛去购物题意:给n元钱,有两种......
  • 1658. 将 x 减到 0 的最小操作数
    太久没有写算法题而且算法底子本就薄弱导致这个题总感觉很熟悉却怎么也写不出的情况......