首页 > 其他分享 >牛客进阶刷题10:整数倍数据位宽转换8to16

牛客进阶刷题10:整数倍数据位宽转换8to16

时间:2023-01-07 22:22:56浏览次数:32  
标签:10 进阶 8to16 数据位 rst input data reg

比非整数倍简单

`timescale 1ns/1ns

module width_8to16(
	input 				   clk 		,   
	input 				   rst_n		,
	input				      valid_in	,
	input	   [7:0]		   data_in	,
 
 	output	reg			valid_out,
	output   reg [15:0]	data_out
);
reg[7:0] data_lock ;
reg		 cyc_cnt	;

always @(posedge clk or negedge rst_n) begin
	if(!rst_n)
		cyc_cnt <= 1'b0 ;
	else if(valid_in)
		cyc_cnt <= cyc_cnt + 1 ;
	else
		cyc_cnt <= cyc_cnt ;
end

always @(posedge clk or negedge rst_n) begin
	if(!rst_n)
		data_lock <= 'd0 ;
	else if(valid_in)
		data_lock <= data_in ;
	else
		data_lock <= data_lock ;
end

always @(posedge clk or negedge rst_n) begin
	if(!rst_n)
		data_out <= 'd0 ;
	else if(cyc_cnt & valid_in)
		data_out <= {data_lock,data_in} ;
	else 
		data_out <= data_out	;
end

always @(posedge clk or negedge rst_n) begin
	if(!rst_n)
		valid_out <= 1'b0 ;
	else if(cyc_cnt & valid_in)
		valid_out <= 1'b1 ;
	else
		valid_out <= 1'b0 ;
end


endmodule

标签:10,进阶,8to16,数据位,rst,input,data,reg
From: https://www.cnblogs.com/icwangpu/p/17033722.html

相关文章

  • 牛客进阶刷题9:非整数倍数据位宽转换8to12
    输入位宽8bit,输出位宽12bit,也就是说每三个输入数据可以生成两个完整输出。注意给出的波形是data_lock而不是data_in,这是陷阱。data_lock是data_in打了一拍的结果。用一......
  • 牛客进阶刷题8:非整数倍数据位宽转换24to128
    第一阶段:120bit+8bit第二阶段:16bit+96bit+16bit第三阶段:8bit+120bit所以相当于发送了16个24bit数据,作为一个循环。第6、第11两个数据被拆开使用。根据上述分析可知,缓存......
  • 1017 Queueing at Bank(25分)
    Supposeabankhas K windowsopenforservice.Thereisayellowlineinfrontofthewindowswhichdevidesthewaitingareaintotwoparts.Allthecustomer......
  • CF1007A 题解
    题目传送门题目分析贪心水题。首先将原数组从小往大排序,然后不难想到一个简单但会超时的思路,即对每个位置,向后找到一个比自己大的数进行搭配。然后是一个简单的小优化,......
  • [点分治记录] P4292 [WC2010]重建计划
    题目看到需要求的柿子首先想到分数规划。也就是二分答案,然后在check里将所有边权减去$mid$,检验是否有路经权值$\ge$0。现在问题转化成求路径长度在$[l,r]$范围内的权值......
  • 二分查找进阶版
    一、题目时间限制:500ms空间限制:64MB很久以前,有位同学,在学完算法课的二分后,激动的振臂高呼:“我学会二分了!”。此时,一位学长从旁边经过听到此话,决定出一道题考考他,挫挫同学的......
  • 紫光展锐T310——《XY310 4G 核心板》相关详细解说!
       深圳市新移科技有限公司推出的《XY3104G核心板》是基于紫光展锐T310(虎贲T310)平台所研发出的4G全网通核心板。它采用沉金生产工艺,耐腐蚀抗干扰,支持-20℃-70℃环......
  • 代码随想录day10 LeetCode20 有效的括号 1047. 删除字符串中的所有相邻重复项
     LeetCode20有效的括号 https://leetcode.cn/problems/valid-parentheses/submissions/流程为遍历每一个字符并判断是否为左括号还是有括号,若为左括号则放入栈中,若为......
  • 自定义数据类型:结构体(C语言进阶)
    结构体类型的声明结构体的自引用结构体内存对齐结构体传参自学b站“鹏哥C语言”笔记。一、结构体类型的声明详见文章【初识结构体】第一部分。补充说明:匿名结构体类型:省略结......
  • 备受认可!中睿天下荣登“2022创业邦100未来独角兽”年度榜单
    近日,由创业邦、复旦大学管理学院主办的2022创业邦100未来独角兽峰会暨创业邦年会在上海举办。在峰会现场,2022创业邦100未来独角兽榜单正式揭晓,中睿天下凭借出众的综合实力荣......