首页 > 编程语言 >VL59 根据RTL图编写Verilog程序

VL59 根据RTL图编写Verilog程序

时间:2024-04-02 19:44:06浏览次数:19  
标签:VL59 RTL Verilog 信号 rst input data reg

解析:先观察电路情况,两个D触发器,一个与门,先将第一个D触发器写出来,命名reg变量为data_in_reg,显然是将输入信号data_in寄存一位,最后data_out信号,是当前输入信号与寄存信号非的与。

`timescale 1ns/1ns

module RTL(
	input clk,
	input rst_n,
	input data_in,
	output reg data_out
	);

	reg data_in_reg;

	always@(posedge clk or negedge rst_n)
		if(!rst_n)
			data_in_reg <= 1'b0;
		else
			data_in_reg <= data_in;

	always@(posedge clk or negedge rst_n)
		if(!rst_n)
			data_out <= 1'b0;
		else
			data_out <= data_in & ~data_in_reg;

endmodule

标签:VL59,RTL,Verilog,信号,rst,input,data,reg
From: https://www.cnblogs.com/Bruceson/p/18111371

相关文章

  • Verilog常用编译指令
    一、编译指令特性:1、编译指令是以反引号`为前缀,ASCII为0x60,而不是单引号’,单引号的ASCII为0x27;2、编译指令从处理到它开始就一直保持有效,除非后面被自己或者其他编译指令覆盖或者取消掉。二、常用指令1、宏定义指令`define,`undef  在编译阶段,`define用于文本替换,类......
  • IC验证 -- 1. Verilog Testbench
    WhatisaVerilogTestbench?AVerilogTestbenchisasimulationenvironmentusedtoverifythefunctionalityandcorrectnessofadigitaldesigndescribedintheVeriloghardwaredescriptionlanguage(HDL).Thepurposeofatestbenchistoprovideaway......
  • Verilog语法回顾--门级和开关级模型
    目录门和开关的声明门和开关类型支持驱动强度的门延迟实例数组and,nand,nor,or,xor,xnorbuf,notbufif1,bufif0,notif1,notif0MOSswitchesBidirectionalpassswitchespullup,pulldown参考《Verilog 编程艺术》魏家明著Verilog共有14中逻辑门和12种开关,用于提供门级和开关......
  • 语法回顾-《Verilog编程艺术》之赋值操作
    目录Verilog赋值操作连续赋值过程赋值参考《Verilog 编程艺术》魏家明著Verilog赋值操作1.连续赋值(Continuousassignment),用于对线网Nets的赋值2.过程赋值(Proceduralassignment),用于对变量variables的赋值3.过程连续赋值:assign/deassign和fork/realease赋值由两......
  • 语法回顾-《Verilog编程艺术》之表达式
    目录表达式操作符操作符优先级整数算数操作符比较操作符逻辑操作符位运算操作符归约操作符移位操作符条件操作符连接操作符操作数向量的抽取数组的访问字符串表达式位长符号表达式赋值和截断与x/z比较参考《Verilog 编程艺术》魏家明著表达式表达式是......
  • verilog语言学习-HDLBits
    基本逻辑:anda&b与同为1时输出1ora|b或有一个为1输出1xora^b异或ab不同时输出1nand!(a&b)与非notand a与b再取反nor~(a|b)或非a或b再取反xnora~^b同或ab相同时输出1anotba&(!b)a与非b......
  • importlib模块
    importimportlibres='myfile.b'ret=importlib.import_module(res)#frommyfileimportb#该方法最小只能到py文件名print(ret)  defsend_all(content):forpath_strinsettings.NOTIFY_LIST:#'notify.email.Email'module_path,cl......
  • Verilog语法之case语句学习
    case分支语句是一种实现多路分支控制的分支语句。与使用if-else条件分支语句相比,采用case分支语句来实现多路控制会变得更加的方便直观。case分支语句通常用于对微处理器指令译码功能的描述以及对有限状态机的描述。Case分支语句有“case”、“casez”、“casex”三种形式,这里......
  • 语法回顾-《Verilog编程艺术》之数据类型
    目录线网(net)变量(variable)线网和变量的区别向量数组参考《Verilog编程艺术》魏家明著线网(net)用于表示结构体(如逻辑门)之间的连接。除了trireg之外,所有其他的线网类型都不能保存值,线网的值是由driver决定的。例如由连续赋值驱动或由逻辑门驱动。如果driver没有驱动线......
  • 语法回顾-《Verilog编程艺术》之Verilog特性
    目录Verilog标准:抽象级别:行为级模型:RTL级模型:门级模型:可综合子集:参考《Verilog编程艺术》魏家明著Verilog标准:Verilog一共发行了三个标准:Verilog-1995、Verilog-2001和Verilog-2005.抽象级别:Verilog可以在三种抽象级上进行描述:行为级模型,RTL级模型和门级模型。......