• 2024-06-12verdi的快捷按键
    1、加载波形弹出窗口2、保存波形文件3、加载波形文件4、调整波形的颜色选中信号,按“T”按键就可随意修改波形颜色5、搜索层级顶层选中顶层文件,按‘shift+s’可查询顶层 
  • 2023-11-19Verdi基础-01
    Verdi使用目标生成fsdb波形查看fsdb波形追踪RTL代码目录Verdi历史生成fsdb波形三个变量&&三个命令变量PATHLD_LIBRARY_PATHso-shareobject仿真软件/硬件平台与LD_LIBRARY_PATH的匹配Modeltech-->Mentor-->siemens完整的Verdi设置fsdb波形
  • 2023-11-19verdi仿真的简单使用
    对于IC的从业人员来说,Verdi是使用频率很高的工具,这也是Synopsys(新思科技)的EDA工具。笔者之前都是使用vivado或者modelsim进行代码仿真,当然modelsim是使用最多的,后面接触了IC并且使用过verdi进行仿真后,觉得Verdi更好用,效率更高。当然笔者是做设计的仿真工具只是为了确保代码
  • 2023-11-14vcs与verdi命令行仿真查看波形
    makefileDESIGN_NAME="fifo_tb"LOG_VCS="vcs.log"LOG_SIMV="simv.log"FILE_LIST="./list.f"#CodeCoverageCM=-cmline+cond+tgl+fsm+branch+assertCM_DIR=-cm_dircvrg#Makefilebody.PHON
  • 2023-11-10verdi使用记录/ycai
    查看一段时间内信号边沿等信息:view-》signaleventCtrl+F定位信号所在schematic中的位置Verdi左下角有个message,可以显示信号驱动的逻辑,而使用1oad默认只显示第一个在代码里使用x作用是在信号下方,直接显示其值,实时更新,但没有波形方便在波形中使用x作用是将中键的标记
  • 2023-11-04verdi看结构体波形
    Options Description+allDumpallsignalsincludingmemory,MDA,packedarray,structure,union,power-related,andpackedstructure+mdaDumpallmemoryandMDAsignals+strengthEnablestrengthdumping+structDumpallstructs(defaulton)
  • 2023-10-07Verdi 学习-折叠波形
    波形折叠只关注某一段波形可以使用Verdi的波形折叠的功能:Waveform窗口,点击CompressTimeRange输入需要折叠的时间段例:这里折叠2000*100ps至6000*100ps点击insert,添加折叠波形段可以在Waveform中看到如下被折叠的波形如果想要展开,点击加号
  • 2023-10-01VCS代码保护+SOC中的复位电路+verdi生成部分原理图+verdi查看delta cycle+自定义的原语Primitives UDP+assert和cover+specify和路径延迟+参数三
    VCS代码保护在新思公司的一些vip的实现中,一些代码进行了加密,导致无法查看源码,加密的方法也是使用新思的工具VCS。在编译的命令行添加+protect选项,在代码前后加上编译指示,则生成对应的加密vp、svp文件,中间的部分被加密。https://blog.csdn.net/woodhorse007/article/details/524
  • 2023-09-18Verdi 覆盖率文件的打开、merge、存储
    转载:Verdi覆盖率文件的打开、merge、存储_verdi查看覆盖率-CSDN博客Verdi覆盖率文件的打开、merge、存储 当一次回归任务结束,会看到【xxx.vdb】文件夹的生成, 每一次回归任务都是提交很多用例(test),每一个用例(test)的代码覆盖率都是单独列出,总的代码覆盖率需要把所有用例
  • 2023-09-17VIVADO VCS VERDI联合仿真
    ./tb_test.shverdi-ffilelist.f-ssf*.fsdb&
  • 2023-05-02数字asic流程实验(EX)VCS+Verdi前仿真&后仿真
    数字asic流程实验(EX)VCS+Verdi前仿真&后仿真1.前言写数字asic流程实验系列博客已经过去一年多了,现在也算结束了纯小白的状态,稍微有了一些数字前端开发经验。在老的系列教程里面用的前仿后仿工具还是modelsim,实际上业界主流工具还是功能更强大的VCS和Verdi。两个也都是synopsys家
  • 2023-04-20Verdi
    1.testbench中控制生成fsdb文件记录波形initialif($test$plusargs("DUMP_FSDB"))//只需要在仿真命令后面加上如下命令即可,这里的DUMP_FSDB字符串即vcs+DUMP_FSDB begin $fsdbDumpfile("testname.fsdb");//记录波形,波形名字testname.fsdb$fsdbDumpvars(
  • 2023-02-06日常学习:override、verdi
    override不可重载的部分由于一些原因。变量引用本身依赖于一个路径,这个路径不可变更,因此,使用以下方法获取子类的变量:https://bbs.eetop.cn/forum.php?mod=viewthread&tid
  • 2022-10-27verdi使用细节——signed 1/2's Complement
    signed2'sComplement表示按位取反后再加1signed1'sComplement表示按位取反
  • 2022-08-17Verdi -- Protocol Analyzer Debug
    介绍VerdiProtocolAnalyzer和VerdiTransactionDebug中提及的一样,显示transaction的“波形”与具体信息。但是需要配合SNPSVIP使用,显示更多协议相关的信息:如lat