首页 > 其他分享 >Verdi 覆盖率文件的打开、merge、存储

Verdi 覆盖率文件的打开、merge、存储

时间:2023-09-18 11:56:58浏览次数:40  
标签:存储 Enable name cm merge vdb coverage Verdi

转载:Verdi 覆盖率文件的打开、merge、存储_verdi查看覆盖率-CSDN博客

Verdi 覆盖率文件的打开、merge、存储

 

当一次回归任务结束,会看到【xxx.vdb】文件夹的生成,

 

每一次回归任务都是提交很多用例(test),每一个用例(test)的代码覆盖率都是单独列出,总的代码覆盖率需要把所有用例的merge一下,有两种方法:
1、 打开Verdi,在图形化界面选中,打开数据库文件,选中要merge的.vdb文件,choose后可以在test name框中看到所有提交的用例,全选点开始OK,就开始merge,无尽的等待。。。。
verdi -cov &
或者
verdi -covdir &

 

 

 2、在终端,进入regress_xxx目录下输入命令merge

verdi -cov -covdir cov.vdb/ &

之后,弹出verdi界面,也是无尽的等待。。。。

 覆盖率合并中出现下面的,永远选wait

 

 

 

 

Option description
-cm line+cond+fsm+tgl+branch+assert

ine : Enable collecting line or statement coverage
cond : Enable collecting condition coverage
fsm : Enable collecting FSM coverage
tgl : Enable collecting toggle coverage
branch : Enable collecting branch coverage
assert : Enable collecting SystemVerilog assertion coverage

-cm_libs yv yv :Enable collecting coverage source code from Verilog libraries
Default doesn't collect coverage of the library files
-cm_dir $directory_path_name

Specify an name and location for simv.vdb

Default is simv.vdb

-cm_log $filename

Specify a log file for monitoring coverage.

Default is cm.log. To suppress the log file, you could re-direct the log file to empty path. (ex, -cm_log /dev/null)

-cm_name $testname Specify a different location for different simulation, used in dsim flow
-cm_hier $filename

Collect particular instances' coverage

$filename contents
------------------------------
+/-tree $instance_name [$level] //level number of 0 (or no level number) specifies the entire sub-hierarchy
+/-module $module_name

-cm_assert_hier $filename

Collect particular assertion' coverage

$filename contents
------------------------------
+/-assert my_assert
+/-assert A*
+/-assert $instance_name

-cm_line contassign Specifies enabling line coverage for Verilog continuous assignments.
-cm_tgl portsonly Only monitor port of toggle coverage, remove net and variables monitor in modules
   

 

 

-map命令需要mapfile以表明map关系,下图给出的mapfile意思是将module name为My_Ip的在B模块中的两个例化My_Ip1,My_Ip2的覆盖率映射到

A.B.My_Ip1上去。

 

 

-map使用语法

urg -dir base.vdb -dir input.vdb -mapfile file_name

 

标签:存储,Enable,name,cm,merge,vdb,coverage,Verdi
From: https://www.cnblogs.com/zhiminyu/p/17711510.html

相关文章

  • EasyGBS安防视频监控有哪些存储方式,哪种存储方式最优
    EasyGBS视频监控系统涉及到大量的视频数据,需要对这些数据进行存储,以备日后查看或备份。视频监控的存储需求需要根据场所的实际情况进行选择,以保证监控数据的有效存储和日后的调阅、回溯。 当前视频监控的存储方式,通常有以下几种:1.硬盘录像机(DVR)存储:DVR利用硬盘来储存视频数据,......
  • 阿里云的对象存储怎么使用
    阿里云对象存储(AlibabaCloudObjectStorage,ACOS)是一种安全、可扩展的云存储服务,可以存储和访问大量的非结构化数据。下面是使用阿里云对象存储的简要教程:注册和登录阿里云账号首先,您需要在阿里云官方网站上注册一个账号,并登录到您的控制台。创建对象存储实例在控制台的左侧菜......
  • Go - Merge Sort
    MergeSort.gopackagemainfuncMergeSort(items[]int)[]int{n:=len(items)varcombined[]intswitch{casen<=1:combined=itemscasen==2:ifitems[0]<=items[1]{combined=items......
  • mysql存储与计算地理位置信息
    ●Geometry○Geometry是集合对象的基类,也就是说Point、lineString、polygon都是Geometry的子类●Point○点对象,有一个坐标值,没有长度、面积、边界。数据格式为:经度longitude在前,纬度latitude在后,用空格分割,例如:POINT(116.416352857884139.870942749660706)●LingString......
  • MySQL 索引、事务与存储引擎
     一、索引1.索引的概念●索引是一个排序的列表,在这个列表中存储着索引的值和包含这个值的数据所在行的物理地址(类似于C语言的链表通过指针指向数据记录的内存地址)。●使用索引后可以不用扫描全表来定位某行的数据,而是先通过索引表找到该行数据对应的物理地址然后访问相应的数据,......
  • vim插件使用python编写+AXI非对齐传输如何发送+verdi配置搜索顺序+verible和verilator
    vim插件使用python编写虽然vim有自己的一套语法格式,但是学习成本放着呢,语言那么多,啥都学哪学的过来嘛。不过vim确实是支持python的,但是是python2,而不是python3,因此语法上的一些问题要兼容下。这个是官方手册,正确而可靠的部分。https://vimdoc.sourceforge.net/htmldoc/if_pyth......
  • VIVADO VCS VERDI联合仿真
    ./tb_test.shverdi-ffilelist.f-ssf*.fsdb&......
  • session存储数据-解决歌手页面刷新报错的问题
    刷新报错的原因是,singer数据是需要在singer.vue页面去传到singerdetail.vue页面的,所以想要重新加载singerdetail页面就必须重新返回到singer页面,很麻烦,想要解决就只需要将数据存储到session中既可以,网站的数据存储一般有两种,一个是location一个是session,这里的话不用用到location,......
  • 47-字典-复杂表格数据存储-列表和字典综合嵌套
           ......
  • 48-字典-核心底层原理-内存分析-存储键值对过程
            ......