• 2024-06-07FRDM-MCXN947开发板之i2c应用
    介绍MCXN947NXPFRDM-MCXN947开发板是一款基于MCXN947MCU的低成本评估板,MCU集成了双核ArmCortex-M33微控制器和一个神经处理单元(NPU)。开发板由一个MCXN947控制器和一个64Mbit外部串行闪存组成。该板还具有P3T1755DPI3C温度传感器,TJA1057GTK/3ZCANPHY,以太网PHY,SDHC电路
  • 2024-06-07C语言学习日志3-关键字ii
    1.4,signed、unsigned关键字编译器缺省默认情况下数据为signed类型的。举例:上面的解释很容易理解,下面就考虑一下这个问题:include<stdio.h>include<string.h>intmain(){chara[1000];inti;for(i=0;i<1000;i++){a[i]=-1-i;//printf("a[%d]=0x%x\n",
  • 2024-06-07Signed 2's Complement和Signed 1's Complement
    verdi中选项有Signed2'sComplement和Signed1'sComplementSigned2'sComplement和Signed1'sComplement是用于在计算机中表示有符号整数(即可以是正数或负数的整数)的两种不同方法。以下是它们之间的主要区别和特性:Signed1'sComplement(符号位取反)表示方法:在Signed1'sCo
  • 2024-05-26K3S TLS证书延长
    新安装集群: 根证书延长:修改脚本:https://github.com/k3s-io/k3s/blob/master/contrib/util/generate-custom-ca-certs.sh将3700改你期望的天数(根证书)并执行,如果修改了DATA_DIR目录记得加到环境后在执行颁发的子证书延长:目前官方没有支持相关的配置,但是通过源
  • 2024-05-11Verilog关于signed、有符号数、算数移位、$signed()的使用
     Verilog关于signed、有符号数、算数移位、$signed()的使用-CSDN博客关于signed、有符号数、算数左移、算数右移、$signed()、$unsigned()的理解。1、signed可以和reg和wire联合使用,用于定义有符号数。在代码中使用负的十进制数赋值给有符号数,在电路中是按该数值的补码形式存
  • 2024-04-29SystemVerilog -- 2.2 Data Types ~ Signed integers,byte
    SystemVerilog'integer'and'byte'除了verilog支持的所有数据类型外,SystemVerilog还具有许多其他2-state的数据类型。现代testbench中最常用的数据类型是bit、int、logic和byte。integer整数是没有小数部分的数字,换句话说,它们是整数。SystemVerilog有三种新的signed数据类
  • 2024-04-21m基于FPGA的多通道FIR滤波器verilog实现,包含testbench测试文件
    1.算法仿真效果VIVADO2019.2仿真结果如下:   系统RTL结构如下:   2.算法涉及理论知识概要       多通道FIR(FiniteImpulseResponse)滤波器是一种在数字信号处理中广泛应用的线性时不变滤波技术,尤其适用于多通道音频、视频、图像等多维数据的处理。   
  • 2024-04-07观察下面的代码,假设a的值为01000,则b的结果为()。
    inputsigned[4:0]a;outputsigned[4:0]b;assignb=a>>>1;选项:A、00100B、01100C、00010D、01010答案:A解析:对于有符号数来说:若符号位为1,使用>>>,高位补1;若符号位为0,使用>>>,高位补0;对于无符号数来说,无论最高位是什么,使用>>>,高位都补0。易错点:有符号(signed)、无符
  • 2024-03-1513.【初三奥赛模拟测试2】
    估计也打不了多少\(qwq\)\(\Huge终于不垫底了。qwq\)初三奥赛模拟测试2T1南题解一道概率期望。一般都是从\(n\)开始递推到\(0\)。假设我们现在有\(i\)种枪,那么期望次数\[\largef_i=f_{i+1}+\fracn{n-i}\]因为当前有\(i\)种可能买到已经买过的枪,\(n-i\)
  • 2024-02-2212.【2024初三集训模拟测试4】
    \(\Huge打了一场模拟赛,又垫底了。qwq\)2024初三集训模拟测试4\(\Huge还是垫底赛大佬。qwq\)赛时差点忘改\(freopen\),用的全是\(T1\)的文件,\(9:30\)才发现,吓\(④\)。T1打赌\(?pts\)又是\(\Huge\%\)你,打赌\(\Huge
  • 2024-02-168.【2024初三年前集训测试3】
    \(\Huge打了一场模拟赛,终于不垫底了。qwq\)2024初三年前集训测试3T1夕景昨日\(90pts\)不好想,一直做到最后了,然后发现过不了样例,发现读假题了\(\Largeqwq\Huge
  • 2024-02-02Angular 17+ 高级教程 – Angular Configuration (angular.json)
    前言记入一些基本的配置。 SetupIPAddress、SSL、Self-signedCertificate如果你对IPAddress、SSL、Self-signedCertification不熟悉,请看这篇先 VsCode,VisualStudio2022,AngularandLiveServerRunningThroughHttpsandIPAddress在angular.json添加设
  • 2024-01-19Verilog四则运算
    verilog中进行四则运算时,需要注意区分原码补码的使用。以加法运算为例,如果不对右值进行显式说明($signed),则默认为无符号数,显式说明需完整,即对每一个右值都进行$signed描述,否则verilog将对混合运算的右值都当做无符号数进行运算,显式说明将失效。不使用显式说明$signed来进行有符
  • 2023-12-13C++学习笔记九:值,常量,常表达式和常初始化
    1.值:Literal:Datathatisdirectlyrepresentedincodewithoutgoingthroughsomeothervariablestoredinmemory.值:直接在代码中表示的数据,无需通过内存中存储的其他变量。值不在内存中进行存储,而是直接在二进制的可执行文件中产生。1.1整型//Literaltypes:ua
  • 2023-12-09C++学习笔记二:变量与数据类型(整型)
    1.int(整型数据):1.1进制的表示:十进制,八进制,16进制,二进制intnumber1=15;//Decimalintnumber2=017;//Octalintnumber3=0x0F;//Hexadecimalintnumber4=0b00001111;//Binary上面几种表示方式都表示15这个数字,用cout输出得到相同的结果 1.2
  • 2023-12-08内核维护者手册 - 修改补丁【ChatGPT】
    https://www.kernel.org/doc/html/v6.6/maintainer/modifying-patches.html修改补丁如果你是一个子系统或分支的维护者,有时你需要稍微修改你收到的补丁才能合并它们,因为你的代码与提交者的代码并不完全相同。如果你严格遵守开发者证书的规则(c),你应该要求提交者重新生成补丁,但
  • 2023-12-07m基于FPGA的8PSK调制解调系统verilog实现,包含testbench测试文件
    1.算法仿真效果vivado仿真结果如下:   借助matlab看8PSK的星座图:   2.算法涉及理论知识概要        随着通信技术的不断发展,相位调制技术因其高频谱效率和抗干扰能力而广泛应用于无线通信系统中。其中,8PSK(8相位相移键控)作为一种高阶调制方式,具有更高的频
  • 2023-11-19OpenSSL - Certificate Generation
    WewillusetheOpenSSL(https://www.openssl.org/source/)tooltogenerateself-signedcertificates.Acertificateauthority(CA)isresponsibleforstoring,signing,and issuingdigitalcertificates.Thismeanswewillfirstgenerateaprivatekeyanda
  • 2023-11-18基础工程
    ---basictype.h---#ifndef__BASICTYPE_H__#define__BASICTYPE_H__typedefunsignedcharuchar;typedefsignedcharschar;typedefunsignedshortushort;typedefsignedshortsshort;typedefunsignedintuint;typedefsignedintsint;typedef
  • 2023-11-01m基于FPGA的8FSK调制解调系统verilog实现,包含testbench测试文件
    1.算法仿真效果vivado2019.2仿真结果如下:    将波形放大,看到如下效果:    2.算法涉及理论知识概要       8FSK(8-FrequencyShiftKeying)是一种常用的数字调制方法,它通过在不同的频率上发送二进制数据来进行通信。8FSK在通信系统中被广泛应用,因为它具
  • 2023-11-01Xilinx VIvado学习-01 数值处理之除法(有符号)
    Verilog数值处理,在处理除法的时候,需要注意位宽。实例: quotient=a/b; reside=a%b; modulesi_div(inputsigned[9:0]a,inputsigned[7:0]b,outputsigned[9:0]quotient,outputsigned[7:0]reside);assignquotient=a/b;assignreside=a%b;endmodule
  • 2023-10-29Xilinx VIvado学习-01 数值处理之乘法(有符号)
    Verilog数值处理,在处理减法的时候,需要注意溢出问题。实例:a*b=c 1modulesi_product(2inputsigned[9:0]a,3inputsigned[7:0]b,4outputsigned[17:0]product5);6assignproduct=a*b;7endmodule仿真代码:1modulesi_product_tb;2regsys_
  • 2023-09-17m基于PN导频序列和cordic算法的基带数据帧频偏估计和补偿FPGA实现,包含testbench
    1.算法仿真效果本系统进行了Vivado2019.2平台的开发,测试结果如下局部放大之后:我们可以看到,带有频偏的基带信号o_I_fre和o_Q_fre得到了有效的频偏补偿,其补偿后的数据o_Ir和o_Qr和原始的基带数据基本一致。2.算法涉及理论知识概要基于PN导频序列和CORDIC算法的基带数据帧频偏
  • 2023-09-17m基于PN导频序列和cordic算法的基带数据帧频偏估计和补偿FPGA实现,包含testbench
    1.算法仿真效果本系统进行了Vivado2019.2平台的开发,测试结果如下   局部放大之后:   我们可以看到,带有频偏的基带信号o_I_fre和o_Q_fre得到了有效的频偏补偿,其补偿后的数据o_Ir和o_Qr和原始的基带数据基本一致。 2.算法涉及理论知识概要        基于P
  • 2023-09-15m基于uw导频序列和cordic算法的基带数据帧频偏估计和补偿FPGA实现,包含testbench
    1.算法仿真效果本系统进行了Vivado2019.2平台的开发,测试结果如下:我们可以看到,带有频偏的基带信号o_I_fre和o_Q_fre得到了有效的频偏补偿,其补偿后的数据o_Ir和o_Qr和原始的基带数据基本一致。2.算法涉及理论知识概要基带数据帧频偏估计和补偿是一种用于纠正数字通信系统中