• 2024-09-29Github_以太网开源项目verilog-ethernet代码阅读与移植(五)
    实验背景在(四)中介绍了Github开源项目verilog-ethernet的移植思路,以及对MII接口和数据链路层等功能的仿真,下面介绍数据的跨时钟域传输,以太网数据传输过程和网络层数据传输相关的移植。实验内容数据的跨时钟域传输处理,以太网数据传输过程和网络层数据传输模块介绍与仿真。
  • 2024-09-25001-什么是VOQ
    1、什么是VOQ(VirtualOutputQueues)?VOQ(虚拟输出序列)是一种存储结构,由FIFO与RAM以及逻辑结构组合构成。在一些数据应用场景中能够有效存储数据并且能够及时输出,避免阻塞。一句话来说VOQ的优点在于:共享存储,较少存储资源,避免数据阻塞,提高数据输出效率。2、为什么FIFO会有数据阻塞,
  • 2024-09-21进程-管道
    管道定义    什么是管道                管道是Unix中最古老的进程间通信的形式。        我们把从一个进程连接到另一个进程的一个数据流称为一个“管道”                我们通常把是把一个进程的输出连接或“
  • 2024-09-19STM32H7 DMA 使用配置 CUbeMX
    突发传输:突发传输在整个传输中过程中,节拍与节拍的传输是连续的 且不会被总线仲裁直到传输结束有利于优化总线的使用带宽。图中需要注意两点:(1)外设处:数据宽度*突发SIZE<=Threshold值(2)内存处:数据宽度*突发SIZE<=Threshold值理解:突发传输,8beat一次 Burst,翻译为8拍一次突发,理解为突
  • 2024-09-17工具篇-modelsim独立仿真带有ISE IP核的文件
    概述ISE是由Xilinx公司开发的一款集成开发环境,主要用于XilinxFPGA和CPLD(复杂可编程逻辑设备)的设计。ISE提供了从设计编写、设计综合、时序分析、到最后的设备编程等一系列设计步骤的支持。ModelSim是由MentorGraphics(现为Siemens业务部门)开发的一款硬件仿真工具
  • 2024-09-17Defining Constraints with ObjectProperties
    步骤4:使用对象定义约束物业您可以创建时间和放置约束,如本教程所示。你也可以更改单元格的属性以控制Vivado实现如何处理它们。许多物理约束被定义为单元对象的属性。例如,如果您在设计中发现RAM存在时序问题,为了避免重新合成,您可以更改RAM单元的属性以添加流水线寄存器。
  • 2024-09-15php和go进行跨进程fifo通信
    fifo的文件需要提前建立好,或者用程序处理php<?php$fifoName="my_fifo";//打开命名管道进行读取$fifo=fopen($fifoName,"r+");if($fifo===false){die("UnabletoopenFIFO:$fifoName");}//读取数据$data=fread($fifo,1024);echo"Re
  • 2024-09-04DMA——STM32F407ZGT6
    DMA简介DMA(DirectMemoryAccess)直接存储器存取DMA可以提供外设和存储器或者存储器和存储器之间的高速数据传输,无须CPU干预,节省了CPU的资源。DMA的作用就是解决大量数据转移过度消耗CPU资源的问题,有了DMA得CPU可以更加专注的实用的的操作——计算、控制等。外围设备可以通过
  • 2024-09-02【ZYNQ MPSoC开发】lwIP TCP发送用于数据缓存的软件FIFO设计
    设计背景    任务是在ZYNQ的PS上使用裸机运行lwIP协议栈使用TCP把PL端通过AXIDMA传来的将近100K采样率的ADC数据发送出去,但由于数据带宽很大,有853.3mbps,所以在每一次AXIDMA简单传输结束后,lwIP未必有足够的发送buffer立即把数据发送走,如果是发送完再进行下一次简单
  • 2024-09-02I2C通信中,使用FIFO时的读写时序
    在I2C通信中,使用FIFO(First-InFirst-Out)缓冲区可以显著提高数据传输的效率和可靠性。当使用FIFO时,读写时序会有一些特定的要求和优化,以确保数据传输的正确性和高效性。下面详细介绍使用FIFO时的读写时序。读写时序的基本原则在使用I2CFIFO时,读写时序需要考虑以下几个方面:初
  • 2024-08-26UVM中的TLM(事务级建模)通信(2)
    上一篇介绍了UVM中利用TLM进行的一对一通信:UVM中的TLM(事务级建模)通信(1)-CSDN博客,除此之外,UVM还有两种特殊的端口:analysis_port和analysis_export,用于完成一对多的通信。1.analysis端口    这两种端口同样也是用于传递transaction,他们与put,get的区别是:   
  • 2024-08-24FPGA基础:格雷码及FIFO应用概述
    1简介反射二进制码反射二进制码(RBC),也称为反射二进制(RB)或格雷码(Graycode),得名于FrankGray,是二进制数制的一种排列方式,使得连续两个值之间仅有一个比特(二进制位)不同。格雷码在数字电路中的应用有助于减少误差、提高系统的可靠性,并简化逻辑操作,因此被广泛应用于各种数字系统和电子
  • 2024-08-21【读书笔记-《30天自制操作系统》-6】Day7
    本篇向着移动鼠标的目标继续前进。先对中断处理进行一些补充说明,然后建立完善缓冲区来实现键盘数据接收。最后是在此基础上的初始化鼠标控制电路与鼠标的数据接收。1.中断处理程序补充说明前面的处理中,接收到键盘中断后只是显示一行信息,现在把按键的信息也一并显示出来
  • 2024-08-20FIFO读数取数
    FIFO:先进先出的缓存器。常应用于带宽不同或者跨时钟域等数据传输情况。相关参数:数据宽度,存储深度,将空标志位。空标志位。将满标志位,满标志位。读写时钟。其中将满信号与将空信号相较于真正的满信号与空信号都会提前一个时钟周期拉高。FIFOgenerator配置注意事项:Basic选项
  • 2024-08-18fpga图像处理实战-图像缓存(FIFO)
    FPGA实现`timescale1ns/1ps////Company://Engineer:////CreateDate:2024/08/1813:47:22//DesignName://ModuleName:line_buffer//ProjectName://TargetDevices://ToolVersions://Description:////Dependencies:////Revision
  • 2024-08-09异步FIFO设计
    AsynchronousFIFODesign总结来自CliffordE.Cummings论文《SimulationandSynthesisTechniquesforAsynchronousFIFODesign》一、设计难点使用格雷码计数时空和满的判断。同步FIFO读写时钟相同,而异步FIFO读写来自不同两个读写时钟,需要考虑跨时钟域设计。二、设
  • 2024-08-08图像处理之Video In to AXI4-Stream教程
    免责声明:本文所提供的信息和内容仅供参考。作者对本文内容的准确性、完整性、及时性或适用性不作任何明示或暗示的保证。在任何情况下,作者不对因使用本文内容而导致的任何直接或间接损失承担责任,包括但不限于数据丢失、业务中断或其他经济损失。读者在使用本文信息时,应自行
  • 2024-08-07AXI-LITE使用教程(三)
    免责声明:本文所提供的信息和内容仅供参考。作者对本文内容的准确性、完整性、及时性或适用性不作任何明示或暗示的保证。在任何情况下,作者不对因使用本文内容而导致的任何直接或间接损失承担责任,包括但不限于数据丢失、业务中断或其他经济损失。读者在使用本文信息时,应自行
  • 2024-08-06「队列」实现FIFO队列(先进先出队列|queue)的功能 / 手撕数据结构(C++)
    概述队列,是一种基本的数据结构,也是一种数据适配器。它在底层上以链表方法实现。队列的显著特点是他的添加元素与删除元素操作:先加入的元素总是被先弹出。一个队列应该应该是这样的:--------------QUEUE-------------———————————
  • 2024-08-05FPGA设计之跨时钟域(CDC)设计篇(5)----同步FIFO的两种设计方法(计数器法/高位扩展法 | 手撕代码)
    1、什么是FIFO?        FIFO(FirstInFirstOut)是一种先进先出的数据缓存器,在逻辑设计里面用的非常多。它是一种存储器结构,被广泛应用于芯片设计中。FIFO由存储单元队列或阵列构成,第一个被写入队列的数据也是第一个从队列中读出的数据。        FIFO设计可
  • 2024-08-01Amazon SQS 入门:从基础到进阶的完整指南
    这是对AmazonSimpleQueueService(AmazonSQS)的历史年表的介绍。AmazonSQS作为AWS的基础设施服务之一,于2004年11月首次推出,提供全托管的消息排队服务。为了迎接即将到来的2024年11月的20周年,我们提前撰写了这篇文章,以纪念这一里程碑。文章总结了SQS从诞生至今的主要功能和
  • 2024-08-01顺序消费rocketMQ(FIFO先进先出)和小技巧 取模运算的周期性特征来将数据分组。
    20240801一、顺序消费MQ(FIFO先进先出)介绍二、一个小技巧,对于取模运算,用来在几以前进行随机选取,取模运算的周期性特征来将数据分组,使用场景对于取模会重复问题一、顺序消费MQ(FIFO先进先出)介绍发送顺序和消费顺序保持一致默认情况消费方式是并发模式,会导致消息乱序
  • 2024-07-27FPGA FIFO IP核(3)- 仿真
    仿真思路如何在写入标志信号(写入请求信号)有效时将数据写入到FIFO中?在调用模块代码中,pi_flag每四个时钟周期产生一个有效信号,即写请求信号。每次当pi_data检测到pi_flag信号有效时加1,从0~255循环变化。实现效果就是在pi_flag有效时将pi_data写入到FIFO中。读请求信号rdreq
  • 2024-07-24Linux获取线程调度策略pthread_attr_getschedpolicy
    thread_attr_getschedpolicy 函数是POSIX线程(pthread)库中用于获取线程属性对象中的调度策略的函数。在实时系统中,调度策略决定了线程如何被调度器选择来执行。pthread_attr_getschedpolicy 函数允许你查询一个已创建的线程属性对象(pthread_attr_t 类型)中设置的调度策略
  • 2024-07-23FIFO最小深度计算
    1、读写没有空闲周期。(fA>fB)    例如,fA=80MHz;fB=50MHz;BurstLength=120;读写之间没有空闲周期,连续读写一个突发长度。解法:        写一个数据需要的时间=1/80MHz=12.5ns;        写一个突发需要的时间=120*12.5ns=1500ns;