• 2024-06-20基于cadence最基础的inv和and保姆级教学
  • 2024-06-17cadence的CDB转OA完整教学
    CDB转OA完整教学以.18um工艺库为例1.首先将CDB的.18文件解压2.新建一个.18_OA的文件夹,在文件下再新建一个OA的文件夹3.再再OA文件夹下新建一个CDB的文件夹,真的是最后一个文件夹的(咱不是在套娃)右击在CDB打开终端,现在CDB文件是空的,所以我们要添加.18的工艺库并且在CDB中
  • 2024-05-28cadence allegro差分线单边走线
    像这种差分对交叉或者空间不够,我们可以先走一根,再去走另一根的时候做等长。在走线时点击鼠标右键,勾选·singletracemode,先连接较远的一根。再看右下角,注意等长,绿色即可,连第二根。这一步可以直接选蛇形走线蛇形走线如图操作,先点击工具栏图标,在选择走线类型。
  • 2024-05-28Cadence allegro PCB添加层叠
    点击Setup→Cross-section或者直接点击工具栏如图所示图标,打开叠层页面。这个页面一开始可能固定在最上面无法拉动,这时我们只需要点击右下角缩放一下该页面,即可拖动。选中想添加的位置,点击右键出现如图所示页面,常用在下面添加。直接双击层叠名字也可以直接命名
  • 2024-04-23Cadence Virtuoso 打开 Layout 显示 undefined packet
    软件版本:IC617操作系统:CentOS解决办法:临时方法打开layout后。按住shift,点选左边layers窗口中的任一层次,弹出displayResourceEditor窗口。file->load。选择需要的drf文件加载即可。永久方法把display.drf文件复制到你的virtuoso启动目录即可参考链接
  • 2024-04-21Cadence 启动报错——无法检测到主机名
    软件版本:IC617操作系统:CentOS7*WARNING*clsInitfailed:Can'tdeterminehostname.Lockingisnotallowedwithhostname'localhost'.Checkthehostconfiguration....(略)解决方法sudosuhostnamecentos这里的centos可以改为你想要的hostname参考链接:ce
  • 2024-04-17将商用器件的spice模型导入到Cadence Virtuoso中仿真
    需要的文件和软件器件的SPICE网表文件(后缀为.cir)CadenceVirtuosoLinux端文本编辑器SPICE网表文件有的器件商家可能提供的模型是PSPICE。PSPICE只是CadenceSPB套件的仿真器而已,内核都是SPICE。下载好SPICE器件模型(.cir文件)之后,应该打开看一眼,熟悉一下网表文件的构成。如
  • 2024-04-07ANSYSEM导入cadence文件
    ANSYSEM导入cadence文件由于新版本取消与cadence直接连接的接口,因此cadence不能导出用于ansys模拟的ANF文件,siwave也取消了cadence的导入接口,因此hfss3Dlayout的cadence接口成为ansys与cadence链接的唯一接口。在hfss3Dlayout环境下,点击file》import》cadence/SPB可
  • 2024-03-27Cadence——生成Gerber制板文件
    软件版本:CadenceSPBOrCADAllegro16.6打开AllegroPCBDesigner选择如下选项
  • 2024-01-19(转)Cadence Virtuoso波形窗口背景调整|背景颜色 线条类型和粗细
    在.cdsinit文件中加入相应命令即可(不要复制中文注释,.cdsinit需要开启隐藏文件查看权限)。*******更改背景颜色为白色*********envSetVal("viva.rectGraph""background"'string"white")envSetVal("viva.graphFrame""background"'string"whit
  • 2023-12-21EDA365 Skill找不到Cadence安装路径的原因与解决办法
    软件版本Cadence17.4参考来源:https://blog.csdn.net/weixin_42837669/article/details/119832994EDA365Skill安装,无法检测到Cadence安装路径,请确认Cadence软件是否已经安装.以下未尝试 
  • 2023-12-08Cadence 手动安装常见问题
    安装软件:CadenceIC617安装环境:CentOS7问题一安装成功,但是配置失败configurestatusfailed解决:sudoyuminstallxterm-y问题二使用破解补丁时报错./sfk:/lib/ld-linux.so.2:badELFinterpreter:没有那个文件或目录解决:sudoyuminstallglibc.i686问题三
  • 2023-12-01Cadence OrCAD 功能操作教程
    1.导出BOM表操作顺序:选中当前要导出BOM表的原理图->Tool->BillofMaterials->设置Header和Combined->勾选OpeninExcel导出BOM表LineItemDefinition设置Header:Item\tQuantity\tReference\tPart\tPCBFootprint\tDescription\tPartNumberCombi
  • 2023-11-28Cadence IC617 开发环境搭建
    本文主要介绍虚拟机安装方式,内容主要讲述虚拟机的使用,文末会补充手动安装的注意事项。如果你熟悉Linux的使用,可以试试手动安装。如果不熟悉,建议使用现成的虚拟机。资料和资源方面,推荐eetop和Google,eetop需要10快钱注册,花钱注册就好了,以后经常用得上。注意!注意!下载和访问可
  • 2023-11-17华秋这场技术研讨邀请了哪些重磅嘉宾?
    距离11月23日2023电子设计与制造技术研讨会还有7天11月23日,华秋将联合凡亿电路、耀创电子及行业资深PCB设计专家,举办一场面向电子工程师的技术交流会议"2023电子设计与制造技术研讨会“。会议将从EDA设计、DFM软件分析、高速pcb设计、多层PCB制造、PCBA加工等环节深入讲解,将给
  • 2023-11-09Cadence Sigrity 仿真入门(一)
    Sigrity各模块功能介绍:PowerDC:①可以用来进行PCB板级(单板和多板)的直流压降和通流问题,主要研究从VRM(电压管理模块,在Sigrity里就是源端)到SINK(负载端)的直流压降、以及过孔与平面电流密度、功耗密度等问题,并且以2D和3D的形式直观呈现出来。②由于PCB流过电流之后,不可避免的会产
  • 2023-11-09书推荐
    Cadence高速电路设计:AllegroSigritySI-PI-EMI设计指南电子书  《Cadence高速电路设计:AllegroSigritySI-PI-EMI设计指南》图书简介《Cadence高速电路设计:AllegroSigritySI-PI-EMI设计指南》,电子工业出版社出版,本身主要介绍信号完整性、电源完整性和电磁兼容方面的基
  • 2023-11-08Cadence Sigrity使用
    Cadence仿真利器,CadenceSI/PIAnalysis–Sigrity安装及破解指南Sigrity提供了丰富的千兆比特信号与电源网络分析技术,包括面向系统、印刷电路板(PCB)和IC封装设计的独特的考虑电源影响的信号完整性分析功能。Sigrity分析技术与CadenceAllegro和OrCAD设计工具的组合将会提
  • 2023-10-13利用Cadence Allegro强大的功能节省您调丝印的时间
    调丝印、拉等长、撩妹是老wu的工作日常,?现在,随着CadenceAllegro新版本的发布,其加入了强大的丝印辅助功能,让你不用再苦逼的浪费时间去调丝印,能省下更多的时间来撩妹…好吧,也许你会问,为啥要调丝印呢?丝印是什么鬼?PCB板丝印层即文字层,它的作用是为了方便电路的安装和维修等,在
  • 2023-09-18Cadence应用笔记:批量修改原理图元器件属性
    OrCad批量修改元器件属性方法软件内修改过滤选择Part、随后Ctrl+A全选,再右键选择修改导出/导入Excel修改点中.DSN文件,随后在工具栏Tool中选择导出
  • 2023-09-16PcellEvalFailed
    前言这个系列指得是在我日常工作中遇到的一些问题,最后我成功解决了。顺便在这里进行总结,后面不会再赘述。一、问题描述这个问题是鄙人新安装好一个库,在Cadence上画好原理图,打算layoutXL看看效果。但是有个别器件调用无法显示,在layout单独再调器件也是报这个问题。二
  • 2023-08-25数字IC设计中用到的EDA工具
    仿真验证工具:Cadence的Incisive、Synopsys的VCS、Mentor的QuestaSim逻辑综合工具:Cadence的Gneus、Synopsys的DesignCompiler(DC)形式验证工具:Cadence的Conformal、Synopsys的Formality静态时序分析工具:Cadence的Tempus、Synopsys的PrimeTime(PT)自动布局布线工具:Cadence的In
  • 2023-08-12java_类
    Intherealworld,you'lloftenfindmanyindividualobjectsallofthesamekind.Theremaybethousandsofotherbicyclesinexistence,allofthesamemakeandmodel.Eachbicyclewasbuiltfromthesamesetofblueprintsandthereforecontainsth
  • 2023-08-12java_封装
    如何实现封装将状态私有化(在状态前加private)提供获取状态的方法(public的get方法)提供修改状态的方法(public的set方法)classBicycle{//状态privateintcadence=0;//将状态私有化 //行为publicintgetCadence(){//提供获取状态的方法
  • 2023-07-11Cadence 两级放大电路,包括版图,已通过lvs ,drc检查 Cadence两级放大电
    Cadence两级放大电路,包括版图,已通过lvs,drc检查Cadence两级放大电路已经完成版图设计,并且已经通过了LVS(Layoutvs.Schematic)和DRC(DesignRuleCheck)的检查。在这段话中涉及到的知识点和领域范围是电路设计和集成电路设计工具。电路设计是指通过选择和配置电子元件,将它们连接在