• 2024-06-22petalinux编译出错解决方法
    petalinux编译若干出错解决方法问题一:ERROR:qemu-xilinx-native-v4.1.50-xilinx-v2020.1+gitAUTOINC+e371d99ac1-r0do_fetch:FetcherfailureforURL:‘gitsm://github.com/Xilinx/qemu.git;protocol=https;branch=branch/xilinx-v2020.1’.UnabletofetchURLfro
  • 2024-05-24【xilinx】利用有益歪斜和时间借用优化实现突破性时钟频率
            在xilinx官方手册《WP478采用SmartConnect技术实现突破性的UltraScale+器件性能》中看到下面的解释:利用有益歪斜和时间借用优化实现突破性时钟频率。    怎么理解这段话,是如何用起来这个功能,有知道的吗?        在大型器件上设计定制硬件
  • 2024-05-24【xilinx】用流水线分析和重定时实现尽可能高的频率
            随着性能需求增加,架构级权衡产生的影响远比工具选项或简单的设计修改大。这种权衡通过插入流水线寄存器级把最长的关键路径切割成较小的、更快速的工作段,牺牲时延来提升时钟频率。        VivadoDesignSuite的流水线分析特性(report_pipeline_ana
  • 2024-04-17A7-100T的图像处理开发板
    深圳市飞录科技有限公司一:概述   开发板主控采用XilinxArtix-7系列FPGA,型号为XC7A100T-2FGG676C,具有100KLUTs,240个DSP,芯片集成了LVDS、DDR3,6.6GSerdes等控制器。XilinxArtix-7作为中规模FPGA的典范,选用28nm工艺,性能与解决方案非常成熟,在通信及图像市场的应用非常广
  • 2024-03-28Xilinx ZYNQ 7000+Vivado2015.2系列(十三)私有定时器中断
    私有定时器属于PS部分,定时器可以帮我们计数、计时,有效的控制模块的时序。这一次实验我们认识定时器并使用定时器产生中断。CPU的私有中断(PPI)CPU的私有中断(PPI),5个:全局定时器,私有看门狗定时器,私有定时器以及来自PL的FIQ/IRQ。它们的触发类型都是固定不变的,并且来自P
  • 2024-03-27Xilinx ZYNQ 7000+Vivado2015.2系列(一)之流水灯(纯PL)
    原文链接:https://blog.csdn.net/u014485485/article/details/78056980前言:学习Xilinx的ZYNQ7000系列,用的板子是zc702(注意不是zedboard),SOC型号是xc7z020。虽然设计思路一样,但不同的套件引脚和io标准是有区别的,zc702评估板的的外观图如下,可以对照下自己的板子:作为入门体验,本设
  • 2023-12-301-1-02 AMD(XILINX) FPGA开发工具Vitis(vivado)安装
    1.1Vitis概述Vitis统一软件平台可实现在Xilinx异构平台(包括FPGA、SoC和VersalACAP)上开发嵌入式软件和加速应用。它可为边缘、云和混合计算应用加速提供统一编程模型。利用与高层次框架的集成,通过加速库采用C、C++或Python进行开发,或者使用基于RTL的加速器和低层次
  • 2023-12-296 浅谈XILINX FIFO的基本使用
    软件版本:VIVADO2021.1操作系统:WIN1064bit硬件平台:适用XILINXA7/K7/Z7/ZU/KU系列FPGA登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑!1概述首先来大概了解下什么是FIFO,FIFO(FirstInputFirstOutput)简单说就是指先进先出。FIFO也是缓存机
  • 2023-12-2927 浅谈XILINX BRAM的基本使用
    软件版本:VIVADO2021.1操作系统:WIN1064bit硬件平台:适用XILINXA7/K7/Z7/ZU/KU系列FPGA登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑!1概述对于BRAM详细的说明在XILINX官方文档,pg058中有说明,我们这里仅对课程涉及的内容讲解。Xlinx系列FPGA
  • 2023-12-2801 Xilinx vitis安装
    1Vitis概述Vitis统一软件平台可实现在Xilinx异构平台(包括FPGA、SoC和VersalACAP)上开发嵌入式软件和加速应用。它可为边缘、云和混合计算应用加速提供统一编程模型。利用与高层次框架的集成,通过加速库采用C、C++或Python进行开发,或者使用基于RTL的加速器和低层次运
  • 2023-11-20基于XILINX MMCM的动态移相功能
    1、配置   2、关注一下VCO的频率,一个psen高脉冲,输出相位偏移1/56个VCO周期  3、仿真输出    描述,输入200MHz,输出1-200MHz;每一个psen移动17.8ps;输出2-200MHz相位固定不变。如下为移相操作时序图。 仿真输出:  
  • 2023-11-01Xilinx VIvado学习-01 数值处理之除法(有符号)
    Verilog数值处理,在处理除法的时候,需要注意位宽。实例: quotient=a/b; reside=a%b; modulesi_div(inputsigned[9:0]a,inputsigned[7:0]b,outputsigned[9:0]quotient,outputsigned[7:0]reside);assignquotient=a/b;assignreside=a%b;endmodule
  • 2023-10-29Xilinx VIvado学习-01 数值处理之乘法(有符号)
    Verilog数值处理,在处理减法的时候,需要注意溢出问题。实例:a*b=c 1modulesi_product(2inputsigned[9:0]a,3inputsigned[7:0]b,4outputsigned[17:0]product5);6assignproduct=a*b;7endmodule仿真代码:1modulesi_product_tb;2regsys_
  • 2023-10-29Xilinx VIvado学习-01 数值处理之乘法(无符号)
    Verilog数值处理,在处理减法的时候,需要注意溢出问题。实例:a*b=c 1`timescale1ns/1ps2//////////////////////////////////////////////////////////////////////////////////3//Company:4//Engineer:5//6//CreateDate:2023/10/2323:33:077//
  • 2023-09-19ultraScale AC3UEG 启动打印记录
    U-Boot2020.01(Dec132022-03:00:01+0000)Board:XilinxZynqMPDRAM:4GiBPMUFW:v1.1ELLevel:EL2ChipID:zu3egNAND:0MiBMMC:mmc@ff160000:0,mmc@ff170000:1In:serial@ff000000Out:serial@ff000000Err:serial@ff000000Bootmode
  • 2023-09-17Xines广州星嵌全新FPGA开发板—OMAPL138/C6748 DSP+ARM+FPGA
    1  开发板简介    XQ138F-EVM是一款基于广州星嵌TIOMAP-L138(浮点DSPC6748+ARM9)+XilinxSpartan-6FPGA核心板SOM-XQ138F设计的开发板,它为用户提供了SOM-XQ138F核心板的测试平台,用于快速评估SOM-XQ138F核心板的整体性能。 XQ138F-EVM底板采用沉金无铅工艺的四层板设计
  • 2023-09-14Xilinx平台以太网接口(二)系统架构
     汇总篇:XilinxFPGA平台以太网接口(汇总篇)_xilinxethernet_子墨祭的博客-CSDN博客一、系统架构基于TOP-DOWN的设计思路,我们首先需要了解基于FPGA的以太网接口设计的系统模型:  MAC是媒体访问控制器。以太网MAC由IEEE-802.3以太网标准定义。它实现了数据链路层。最新的MAC
  • 2023-08-25直接从命令行启动xilinx/SDK并打开工程
    编写一个启动脚本s19sdk.sh,内容如下:#!/bin/bashsource/opt/Xilinx/SDK/2019.1/settings64.shxsdk-workspace~/work/myproject/myproject.sdk&然后增加执行权限后运行,chmoda+xs19sdk.sh./s10sdk.sh参考:https://www.coder.work/article/7965726
  • 2023-08-20DocNav 安装教程
    DocNav安装教程一.下载软件在开始安装前,需要先下载安装软件,可以到Xilinx官网下载,也可也通过从百度云链接进行下载。右边是Xilinx官方的安装程序,左边是文档的压缩包,包含了2023.1版本的所有文档大小为4G; 百度云下载链接:https://pan.baidu.com/s/1ZVea9l9fh-NsupTFbBwBJQ
  • 2023-08-12使用 Vivado 项目进行版本控制
    转发一篇别人的文章:RevisionControlwithaVivadoProject(xilinx.com) 部分截图: 
  • 2023-07-07AMD ZCU106 U-Boot 2023.1 Open Source Flow 编译的缺少“gnutls/gnutls.h”错误
    AMDZCU106U-Boot2023.1OpenSourceFlow编译的缺少“gnutls/gnutls.h”错误获取代码以下列命令获取U-Boot代码petalinux-devtoolmodifyu-boot-xlnx在目录components/yocto/workspace/sources/u-boot-xlnx下应该有u-boot-xlnx的源代码。获取配置文件查找u-boot的配
  • 2023-06-27解决PetaLinux编译Microblaze的U-Boot的错误 “undefined reference to `eth_get_dev_index'”等
    错误PetaLinux编译Microblaze的U-Boot时,遇到下列错误,链接时提示找不到相关函数。|microblazeel-xilinx-linux-ld.bfd-Bstatic--no-dynamic-linker-Ttext0x80100000-ou-boot-Tu-boot.ldsarch/microblaze/cpu/start.o--start-grouparch/microblaze/cpu/built-
  • 2023-06-26基于xilinx的FPGA在线升级程序,仅7系列以上支持
    基于xilinx的FPGA在线升级程序,仅7系列以上支持涉及的知识点和领域范围:1.FPGA(现场可编程门阵列):FPGA是一种可编程的硬件设备,可以根据需要重新配置其内部电路,以实现不同的功能和任务。2.Xilinx:Xilinx是一家知名的FPGA制造商,提供各种型号和系列的FPGA芯片。3.在线升级程序:在线升
  • 2023-06-21xilinx cordic算法 pdf资源分享
    网上搜索到的cordic算法讲解内容大多晦涩难懂,好不容易找到个简洁明了的讲义还要各种会员下载。为了不让大家再被会员和各种繁琐的注册流程拒之门外,遂将此文章分享给广大网友,供大家学习交流。 文档下载链接
  • 2023-06-20FPGA verilog can mcp2515 altera xilinx工程 代码 程序 .
    FPGAverilogcanmcp2515alteraxilinx工程代码程序...altera、xilinx工程均提供...标准帧、扩展帧均提供...提供仿真激励文件testbench资料包清单:1.程序:altera/xilinx工程代码、Verilog/testbench均提供。代码均在电路板验证2.说明书3.quartusii13.0:软件安装包注1:工程均