• 2024-09-26XILINX FIR IP核系数重载功能的学习以及测试
    XILINXFIRIP核系数重载功能的学习以及测试最近在学习宽带数字接收机的一些东西,其中多相滤波是属于其中比较关键的一环,笔者在matlab上成功仿真了多相滤波这一环节后,便想着在FPGA上实现多相滤波,多相滤波器的一个重要环节便是滤波器组的设计,简单来讲,滤波器组是由原型低
  • 2024-08-29【xilinx】不添加ZYNQ SOC SDK的情况下使用xilinx 的XADC
            可以使用ZYNQSOCSDK驱动和使用XADC,但在一些场合不适合使用PS访问XADC的时候,可以通过原语调用XADC,并且获取读取传感器和外置ADC的参数。纯PL接口访问XADC的方法,代码如下:`timescale1ns/1psmoduleug480(inputDCLK,//Clockinputfor
  • 2024-08-25【xilinx】解决 I/O 时钟布局器错误:UltraScale 示例
    示例详细信息:设备: xcvu9p-flga2104-2-e问题:尽管使用GCIO引脚作为时钟,但该工具仍返回I/OClockPlacer错误错误:<spanstyle="background-color:#f3f3f3"><spanstyle="color:#333333"><code>ERROR:[Place30-675]Sub-optimalplacementforaglobalcloc
  • 2024-08-19Xilinx资源浅析之移位寄存器,BRAM,URAM
    移位寄存器SRLC32Eram_based_shifter Xilinx系列FPGA硬核IP,能够有效对移位寄存器进行处理,节省LUT资源1,移位寄存器两种基本数据流1、动态读操作(移位长度不固定)(1)输出Q由5位地址决定(2)每当一个新地址到达时,在经过访问LUT的时间延迟后,输出Q变化(3)读操作是异步的,独立于时钟
  • 2024-08-14【xilinx】Xilinx最强FPGA VU系列简介
    在高性能计算和数据处理领域,FPGA扮演着日益重要的角色。Xilinx的Virtex™UltraScale+™(VU)系列以其卓越的性能和灵活性,为各种高端应用提供了强有力的支持。以下是关于VU系列的详细介绍。AMDVirtex™UltraScale+™产品优势Xilinx的VirtexUltraScale+™FPGA系列产品,在14n
  • 2024-08-12xilinx ZCU106板子运行AMP多核双CPU
    一开始的是需要在xilinx板子上HDMI直通,经过在xilinx官网上的寻找,最终发现两种办法,第一种是hdmi_rx_ss---->vpss-scaler---->axis-broadcaster---->FrameBufferWrite---->Gstreamer---->FrameBufferRead---->v_mix---->hdmi_tx_ss,最终缩减到hdmi_rx_ss---->Fr
  • 2024-08-09NVMe主机控制器,AMBA-AXI4接口,Xilinx FPGA,介绍手册
    NVMeAXI4HostControllerIP1     介绍NVMeAXI4HostControllerIP可以连接高速存储PCIeSSD,无需CPU,自动加速处理所有的NVMe协议命令,具备独立的数据写入和读取AXI4接口,不但适用高性能、顺序访问的应用,也适用于随机访问的应用,同时结合外部存储器(比如DDR),使得Host端的数
  • 2024-07-22Warning[204-68] 以及 Vivado HLS与Vivado的资源差异
            这篇学习记录起源于项目以ip导出后,在HLS综合(synthesis)资源与Vivado内ip综合(synthesis)存在巨大差异,本文没有数据仅以文字记录。        所有问题均基于VivadoHLS2019.1。目录1、资源差异1.1、首先vivado内的ip综合分为Global和Out-Of-Context两
  • 2024-07-19SciTech-EECS-EDA-Altium Designer-FTDI FT232- Xilinx JTAG Programmer + Debugger
    Origin:https://matthewtran.dev/2021/08/ftdi-xilinx-jtag-programmer/MatthewTranArticleLiterallythedaybeforestartingmysummerinternship,IdecidedtoteachmyselfhowtouseAltiumDesigner.Idecidedtotrysomethingrelativelysimpleanduseup
  • 2024-07-17Xilinx NVMe AXI4主机控制器,AXI4接口高性能版本介绍
    NVMeAXI4HostControllerIP1     介绍NVMeAXI4HostControllerIP可以连接高速存储PCIeSSD,无需CPU,自动加速处理所有的NVMe协议命令,具备独立的数据写入和读取AXI4接口,不但适用高性能、顺序访问的应用,也适用于随机访问的应用,同时结合外部存储器(比如DDR),使得Host端的数
  • 2024-07-11高速接口:GT基础(一)
    参考:https://blog.csdn.net/m0_56222647/article/details/136730026一、GT是什么?参考链接:https://zhuanlan.zhihu.com/p/46052855它是集成在FPGA芯片内部的固定电路,因此我们只需要关心该固定电路与FPGA的逻辑部分接口时序即可;它是串行收发器,发送出去只有1bit数据,而接收端也
  • 2024-07-09Xilinx Vitis 2020工程源目录修改
    目录1背景2分析3解决4使用4.1修改路径4.2编译工程4.2.1清理工程4.2.2编译工程1背景  XilinxVitis可以做standalone程序开发,不过其工程中使用的路径为绝对路径。工程更换位置后编译将会显示错误。例如:源目录为D:/work,复制到同事电脑上放到C:/work(同
  • 2024-06-22petalinux编译出错解决方法
    petalinux编译若干出错解决方法问题一:ERROR:qemu-xilinx-native-v4.1.50-xilinx-v2020.1+gitAUTOINC+e371d99ac1-r0do_fetch:FetcherfailureforURL:‘gitsm://github.com/Xilinx/qemu.git;protocol=https;branch=branch/xilinx-v2020.1’.UnabletofetchURLfro
  • 2024-05-24【xilinx】利用有益歪斜和时间借用优化实现突破性时钟频率
            在xilinx官方手册《WP478采用SmartConnect技术实现突破性的UltraScale+器件性能》中看到下面的解释:利用有益歪斜和时间借用优化实现突破性时钟频率。    怎么理解这段话,是如何用起来这个功能,有知道的吗?        在大型器件上设计定制硬件
  • 2024-05-24【xilinx】用流水线分析和重定时实现尽可能高的频率
            随着性能需求增加,架构级权衡产生的影响远比工具选项或简单的设计修改大。这种权衡通过插入流水线寄存器级把最长的关键路径切割成较小的、更快速的工作段,牺牲时延来提升时钟频率。        VivadoDesignSuite的流水线分析特性(report_pipeline_ana
  • 2024-04-17A7-100T的图像处理开发板
    深圳市飞录科技有限公司一:概述   开发板主控采用XilinxArtix-7系列FPGA,型号为XC7A100T-2FGG676C,具有100KLUTs,240个DSP,芯片集成了LVDS、DDR3,6.6GSerdes等控制器。XilinxArtix-7作为中规模FPGA的典范,选用28nm工艺,性能与解决方案非常成熟,在通信及图像市场的应用非常广
  • 2024-03-28Xilinx ZYNQ 7000+Vivado2015.2系列(十三)私有定时器中断
    私有定时器属于PS部分,定时器可以帮我们计数、计时,有效的控制模块的时序。这一次实验我们认识定时器并使用定时器产生中断。CPU的私有中断(PPI)CPU的私有中断(PPI),5个:全局定时器,私有看门狗定时器,私有定时器以及来自PL的FIQ/IRQ。它们的触发类型都是固定不变的,并且来自P
  • 2024-03-27Xilinx ZYNQ 7000+Vivado2015.2系列(一)之流水灯(纯PL)
    原文链接:https://blog.csdn.net/u014485485/article/details/78056980前言:学习Xilinx的ZYNQ7000系列,用的板子是zc702(注意不是zedboard),SOC型号是xc7z020。虽然设计思路一样,但不同的套件引脚和io标准是有区别的,zc702评估板的的外观图如下,可以对照下自己的板子:作为入门体验,本设
  • 2023-12-301-1-02 AMD(XILINX) FPGA开发工具Vitis(vivado)安装
    1.1Vitis概述Vitis统一软件平台可实现在Xilinx异构平台(包括FPGA、SoC和VersalACAP)上开发嵌入式软件和加速应用。它可为边缘、云和混合计算应用加速提供统一编程模型。利用与高层次框架的集成,通过加速库采用C、C++或Python进行开发,或者使用基于RTL的加速器和低层次
  • 2023-12-296 浅谈XILINX FIFO的基本使用
    软件版本:VIVADO2021.1操作系统:WIN1064bit硬件平台:适用XILINXA7/K7/Z7/ZU/KU系列FPGA登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑!1概述首先来大概了解下什么是FIFO,FIFO(FirstInputFirstOutput)简单说就是指先进先出。FIFO也是缓存机
  • 2023-12-2927 浅谈XILINX BRAM的基本使用
    软件版本:VIVADO2021.1操作系统:WIN1064bit硬件平台:适用XILINXA7/K7/Z7/ZU/KU系列FPGA登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑!1概述对于BRAM详细的说明在XILINX官方文档,pg058中有说明,我们这里仅对课程涉及的内容讲解。Xlinx系列FPGA
  • 2023-12-2801 Xilinx vitis安装
    1Vitis概述Vitis统一软件平台可实现在Xilinx异构平台(包括FPGA、SoC和VersalACAP)上开发嵌入式软件和加速应用。它可为边缘、云和混合计算应用加速提供统一编程模型。利用与高层次框架的集成,通过加速库采用C、C++或Python进行开发,或者使用基于RTL的加速器和低层次运
  • 2023-11-20基于XILINX MMCM的动态移相功能
    1、配置   2、关注一下VCO的频率,一个psen高脉冲,输出相位偏移1/56个VCO周期  3、仿真输出    描述,输入200MHz,输出1-200MHz;每一个psen移动17.8ps;输出2-200MHz相位固定不变。如下为移相操作时序图。 仿真输出:  
  • 2023-11-01Xilinx VIvado学习-01 数值处理之除法(有符号)
    Verilog数值处理,在处理除法的时候,需要注意位宽。实例: quotient=a/b; reside=a%b; modulesi_div(inputsigned[9:0]a,inputsigned[7:0]b,outputsigned[9:0]quotient,outputsigned[7:0]reside);assignquotient=a/b;assignreside=a%b;endmodule
  • 2023-10-29Xilinx VIvado学习-01 数值处理之乘法(有符号)
    Verilog数值处理,在处理减法的时候,需要注意溢出问题。实例:a*b=c 1modulesi_product(2inputsigned[9:0]a,3inputsigned[7:0]b,4outputsigned[17:0]product5);6assignproduct=a*b;7endmodule仿真代码:1modulesi_product_tb;2regsys_