• 2024-07-14SpinalHDL之VHDL 和 Verilog 生成
    本文作为SpinalHDL学习笔记第十六篇,记录使用SpinalHDL代码生成Verilog/VHDL代码的方法。SpinalHDL学习笔记总纲链接如下:SpinalHDL学习笔记_spinalhdlblackbox-CSDN博客目录:1.从SpinalHDL组件生成VHDL和Verilog2.生成的VHDL和Verilog3.VHDL和Verilog属性
  • 2024-07-12Day1:20240712做题目
     1.Verilog语言是直接连接,不叫赋值。assign变量a=2'b00;//前面是位数,后面是二进制。 2.Verilog中,wire或者其他信号是直接传递(值)的。assigna=b //实时传递,b的值发生变化,a也会立即变化aninputportisadriverorsource,whileanoutputportisasink.//输入
  • 2024-07-07基于FPGA的A律压缩解压缩verilog实现,包含testbench
    1.算法仿真效果VIVADO2019.2仿真结果如下(完整代码运行后无水印):   RTL图如下所示:   2.算法涉及理论知识概要       A律压缩是一种广泛应用于语音编码的非均匀量化技术,尤其在G.711标准中被欧洲和中国等国家采纳。该技术的核心目的是在有限的带宽下高效传输
  • 2024-07-05I2S采集卡&播放器 Verilog实现
    1.杜老师的建议购买采集卡播放器使用采集卡可以验证生成的i2s时序是否正确使用播放器可以观察正确的i2s时序带使能的计数器自己能播自己能录2.几个音频的专业术语音调实际上就是频率音色音调的决定因素:谐波的结构:声音中的谐波极其相对强度和分布是音色的主要
  • 2024-07-05verilog行为建模(二):命名事件和行为描述
    目录1.命名事件(namedevent)2.行为描述举例3.RTL描述举例微信公众号获取更多FPGA相关源码:1.命名事件(namedevent)在行为代码中定义一个命名事件可以触发一个活动。命名事件不可综合。moduleadd_mult(out,a,b);input[2:0]a,b;output[3:0]o
  • 2024-07-04Verilog图片仿真
            今天给大家分享自己使用的一段仿真代码,是我调整好的,拿去就可以直接使用!!!        我们做fpga有时候会接触一些图像相关的算法,我们不能每一次都是编译成功下载验证看效果吧,我也是找了好久,终于找到一个没啥毛病的,我对于这个模块还是做了一些调整,满足我们
  • 2024-07-01【Emacs Verilog mode保姆级的使用指南】
  • 2024-06-23FPGA学习网站推荐
    FPGA学习网站推荐本文首发于公众号:FPGA开源工坊引言FPGA的学习主要分为以下两部分语法领域内知识做FPGA开发肯定要首先去学习相应的编程语言,FPGA开发目前在国内采用最多的就是使用Verilog做开发,其次还有一些遗留下来的项目会采用VHDL做开发,现在有一部分公司也开始使用Syst
  • 2024-06-22用verilog/systemverilog 设计fifo (2)
    目录异步fifo实现中要解决的问题信号同步到那个时钟域读写指针转化为格雷码格雷码表示的读写地址如何判断空满?异步fifoverilog代码异步fifo实现中要解决的问题异步fifo和同步fifo功能相似,但是它的读写由两个时钟信号控制,所以它的设计和同步fifo不同,需要考虑更多的因素。信号
  • 2024-06-20【Emacs Verilog mode保姆级的使用指南】
  • 2024-06-20verilog 设计与综合实验报告(6)
    题目6:设计一个交通信号灯控制器1、设计方案输入为car车辆到来时为1,无车时为0,输出o_signal为交通信号灯,0001时为红灯,0010时为黄灯,0100时为绿灯,1000时为左拐灯,复位之后,交通灯在空闲状态,当车辆到来时交通灯到下一状态绿灯,等待40s,到下一状态左拐灯,等待15s,到黄灯,然后等待5s转到空
  • 2024-06-20verilog实现格雷码和二进制码的相互转换
    目录格雷码的介绍二进制码转化为格雷码格雷码转化为二进制码verilog实现代码格雷码的介绍在一组数的编码中,若任意两个相邻的代码只有一位二进制数不同,则称这种编码为格雷码(GrayCode),另外由于最大数与最小数之间也仅一位数不同,即“首尾相连”,因此又称循环码或反射码。在数字系统
  • 2024-06-19verilog 设计与综合实验报告(5)
    题目5:序列检测器1、设计方案2、程序代码modulesequential5_detector(inputi_clk, inputi_rst, inputi_seq, outputregout);parameteridle=5'b00000,s1=5'b00001,s2=5'b00010,s3=5'b00100,
  • 2024-06-19Verilog Hdl 计数器分频
    “分频”:是累加多个输入时钟信号clk_in的周期,最终使得,输出时钟信号clk_out的周期变大,频率变小。一、偶数分频例:计数器要实现6分频,输入时钟信号clk_in的6个周期要变成1个周期输出,输出6分频的输出时钟信号clk_out的半个周期占3个输入时钟信号clk_in的周期,相当于clk_out每次在3
  • 2024-06-16SpinalHDL 学习笔记
    SpinalHDL是一种基于Scala的硬件构筑语言(ConstructingHardwareInScalaEmbeddedLanguage),通过比Verilog/VHDL更好层次的行为级描述,拥有更加高层次视角以及抽象编码能力,作为一种Verilog/VHDL代码的生成器,在Verilog/VHDL绝对统治芯片设计语言的情况下,宛如一泓清泉带来不一样
  • 2024-06-14用verilog/systemverilog 设计fifo (1)
    目录fifo的基本原理基于计数器的同步fifo实现(1)基于计数器的同步fifo实现(2)基于高位补偿法的fifo实现fifo的基本原理FIFO(firstinfirstout),即先进先出存储器,功能与数据结构中的队列相似。在IC设计中,FIFO常用来缓冲突发数据,流式数据与块数据的转换等等。比如上图中,在两个
  • 2024-06-08搭建verilog/systemverilog学习环境
    目录仿真软件选择使用iverilog的基本步骤仿真软件选择学习verilog或者systemverilog过程中,使用那种仿真软件?当然最好是使用synopsys的vcs+verdi的组合,功能强大,而且大部分公司也使用synopsys的eda软件,如果熟练掌握vcs+verdi对以后工作中使用它们也是有很大帮助。但是这两个软件
  • 2024-06-07Emacs Verilog Mode 简单使用指南
    引言在硬件描述语言(HDL)中,Verilog是一种广泛使用的语言,用于设计和描述数字电路。为了提高Verilog代码编写的效率和准确性,许多开发者选择使用Emacs作为他们的集成开发环境(IDE)。Emacs是一个高度可定制的文本编辑器,拥有丰富的插件生态系统,其中VerilogMode是专为Veril
  • 2024-06-02(2)高质量Verilog HDL描述方法
    一、VerilogHDL概述VerilogHDL的功能之一是描述可综合的硬件电路,与c相比,HDL语言具有以下特点:互连:wire型变量描述各个模块的端口与网线的连接关系并发:有效描述并行的硬件系统时间:定义了绝对和相对的时间余量,可综合操作符具有物理延迟 通常不可
  • 2024-06-02一个问题:六位八段数码管(Verilog)
    【基本信息】需求:verilog程序,显示任意六位字符或数值,包含点号,且能够按需点亮位数。(学习篇)芯片型号:cycloneⅣEP4CE10F17C8数码管属性:六位、八段【最终成果图】经过多轮测试,最后代码程序满足设计要求,但结合仿真发现了一个问题,仿真和上机不匹配,当然还是要以上机为准。【模块
  • 2024-06-01毛刺专题--->(23)毛刺过滤(三)
     (23)毛刺过滤(三)1目录(a)IC简介(b)vim简介(c)Verilog简介(d)毛刺过滤(三)(e)结束1IC简介(a)在IC设计中,设计师使用电路设计工具(如EDA软件)来设计和模拟各种电路,例如逻辑电路、模拟电路、数字信号处理电路等。然后,根据设计电路的规格要求,进行布局设计和布线,确定各个电路元件的位置
  • 2024-06-01毛刺专题--->(22)毛刺过滤(二)
     (22)毛刺过滤(二)1目录(a)IC简介(b)vim简介(c)Verilog简介(d)毛刺过滤(二)(e)结束1IC简介(a)在IC设计中,设计师使用电路设计工具(如EDA软件)来设计和模拟各种电路,例如逻辑电路、模拟电路、数字信号处理电路等。然后,根据设计电路的规格要求,进行布局设计和布线,确定各个电路元件的位置
  • 2024-06-01毛刺专题--->(21)毛刺过滤(一)
     (21)毛刺过滤(一)1目录(a)IC简介(b)vim简介(c)Verilog简介(d)毛刺过滤(一)(e)结束1IC简介(a)在IC设计中,设计师使用电路设计工具(如EDA软件)来设计和模拟各种电路,例如逻辑电路、模拟电路、数字信号处理电路等。然后,根据设计电路的规格要求,进行布局设计和布线,确定各个电路元件的位置
  • 2024-05-31Verilog设计实现7人投票表决器
    使用verilog实现七人投票表决器。要求若超过四人含四人投票赞成,则表决通过。设计模块://定义模块test6,声明输出端口pass和输入端口votemoduletest6(outputpass,//输出端口,表示投票结果是否通过inputvote//输入端口,一个7位的向量,每位表示
  • 2024-05-31Verilog设计实现两个8位二进制数相乘
    乘法器的基本原理可以通过多种方法实现,例如移位相加法、查找表法等。在Verilog中,我们可以使用内建的乘法运算符“*”来实现乘法功能。首先明确计算的范围。8位2进制1111_1111=255,所以两个8位二进制数的取值范围是0-255。考虑最大值255*255=65025=(1111_1110_0000_0001)2。所