CLK
  • 2024-07-0214.计数器拓展练习
    (1)Visio视图:(2)Verilog代码:modulecounter_ten(clk,reset_n,led_out);inputclk;inputreset_n;outputregled_out;//0.5s=500_000_000ns=20ns*25_000_000;需要25位的寄存器去储存。reg[24:0]cnt;regen_cnt;regcn
  • 2024-07-0212.阻塞赋值与非阻塞赋值语句的区别和规范
    (1)阻塞赋值“=”  直到现行的赋值语句完成,才允许下一条赋值语句的执行,在串行块(begin-end)中,各赋值语句将以它们在顺序块中的排列次序依次执行。(2)非阻塞赋值“<=”    在赋值开始时,计算赋值号右边的语句,赋值结束时,更新赋值号左边的语句,因此其他在同一时间的语句都会
  • 2024-07-0113.计数器设计、标志脉冲信号的使用
    (1)设计定义:设计一个计数器模块,实现每0.5秒跳转一次的功能,可以用LED灯的翻转来体现,要求初始状态为LED熄灭。(2)visio视图:(3)Verilog代码:modulecounter(clk,reset_n,led_out);inputclk;inputreset_n;outputregled_out;//0.5s=500_000_000ns=
  • 2024-06-22用verilog/systemverilog 设计fifo (2)
    目录异步fifo实现中要解决的问题信号同步到那个时钟域读写指针转化为格雷码格雷码表示的读写地址如何判断空满?异步fifoverilog代码异步fifo实现中要解决的问题异步fifo和同步fifo功能相似,但是它的读写由两个时钟信号控制,所以它的设计和同步fifo不同,需要考虑更多的因素。信号
  • 2024-06-20verilog 设计与综合实验报告(6)
    题目6:设计一个交通信号灯控制器1、设计方案输入为car车辆到来时为1,无车时为0,输出o_signal为交通信号灯,0001时为红灯,0010时为黄灯,0100时为绿灯,1000时为左拐灯,复位之后,交通灯在空闲状态,当车辆到来时交通灯到下一状态绿灯,等待40s,到下一状态左拐灯,等待15s,到黄灯,然后等待5s转到空
  • 2024-06-19verilog 设计与综合实验报告(5)
    题目5:序列检测器1、设计方案2、程序代码modulesequential5_detector(inputi_clk, inputi_rst, inputi_seq, outputregout);parameteridle=5'b00000,s1=5'b00001,s2=5'b00010,s3=5'b00100,
  • 2024-06-19Verilog Hdl 计数器分频
    “分频”:是累加多个输入时钟信号clk_in的周期,最终使得,输出时钟信号clk_out的周期变大,频率变小。一、偶数分频例:计数器要实现6分频,输入时钟信号clk_in的6个周期要变成1个周期输出,输出6分频的输出时钟信号clk_out的半个周期占3个输入时钟信号clk_in的周期,相当于clk_out每次在3
  • 2024-06-18移除时钟/阻止时钟传播的几个思路
    1.如果clk在mux输出端,可以将case值(clk_en)设为02.set_sense -typeclock -stop_propagation -clocks[get_clockclkA] [get_pinsclkB]3.remove_generated_clockclkB(ptcommand)  reset_generated_clockclkB(innovouscommand)例:如下图的clkmux,阻止clkB。
  • 2024-06-15等精度频率计的设计与验证
    文章摘要:借助于QuartusIIPLL_IP核产生一个任意频率被测时钟信号,设计一个等精度测量模块,通过其处理后,再数码管上显示出六位的测量频率数值,验证测量的准确度。关键词:VerilogHDL;等精度频率测量;数码管;PLL_IP核最终框图:频率计,即频率计数器,专用于测量被测信号频率,基本工作原理就
  • 2024-06-14用verilog/systemverilog 设计fifo (1)
    目录fifo的基本原理基于计数器的同步fifo实现(1)基于计数器的同步fifo实现(2)基于高位补偿法的fifo实现fifo的基本原理FIFO(firstinfirstout),即先进先出存储器,功能与数据结构中的队列相似。在IC设计中,FIFO常用来缓冲突发数据,流式数据与块数据的转换等等。比如上图中,在两个
  • 2024-06-06HC32F4A0PITB创建工程模板
    使用芯片第一步网上搜索如何创建工程模板,如何下载和查看资料!!!本教程使用的开发板是【立创·天空星HC32F4A0PITB开发板】网址:https://lckfb.com/project/detail/lckfb-lspi-skystar-hc32f4a0pitb-lite?param=baseInfo开源原理图和PCB,资料免费!!!!感谢立创开发板团队的开源!!一、
  • 2024-06-05基于FPGA的图像一维FFT变换IFFT逆变换verilog实现,包含tb测试文件和MATLAB辅助验证
    目录1.算法运行效果图预览2.算法运行软件版本3.部分核心程序4.算法理论概述5.算法完整程序工程1.算法运行效果图预览fpga仿真结果matlab调用FPGA的仿真结果进行图像显示2.算法运行软件版本vivado2019.2matlab2022a3.部分核心程序............................
  • 2024-06-02QuartusII调用 PLL_IP核方法(Mega Wizard)
    【基本信息】要求:调用PLL—IP核,50Mhz晶振输入,输出四路时钟不同信号:100Mhz,25Mhz,50Mhz(90°相位),50Mhz(20%占空比)。芯片型号:cycloneⅣEP4CE10F17C8平台工具:QuartusII15.0(64-bit)、ModelsimSE-6410.4【PLL_IP核简介】IP核:ASIC或FPGA中预先设计好具有某种功能的电路模块,参
  • 2024-06-02一个问题:六位八段数码管(Verilog)
    【基本信息】需求:verilog程序,显示任意六位字符或数值,包含点号,且能够按需点亮位数。(学习篇)芯片型号:cycloneⅣEP4CE10F17C8数码管属性:六位、八段【最终成果图】经过多轮测试,最后代码程序满足设计要求,但结合仿真发现了一个问题,仿真和上机不匹配,当然还是要以上机为准。【模块
  • 2024-05-31SDC
    1、create_clockRef:https://blog.csdn.net/weixin_45791458/article/details/134217796create_clock[-nameclock_name][-add][source_objects][-periodperiod_value][-waveformedge_list][-commentcomment_string]//注:该命令的选项和参数顺序任意#假
  • 2024-05-30Verilog HDL中如何控制模块的调用与否
    VerilogHDL中如何控制模块的调用与否(实用)语言:VerilgHDLEDA工具:ISE、Vivado、QuartusIIVerilogHDL中如何控制模块的调用与否(实用)一、引言二、模块调用与否的几种方法1.注释2.使用预处理指令`ifdef3.使用generate语句三、结尾关键词:调用,VerilogHDL
  • 2024-05-30分频器的设计
     分频器的设计内容:通用分频器;偶分频;奇分频;半数分频。 实现:通用分频器分频公式 N是分频系数。通用分频器的实现方法:应用N进制计数器,将要被分频的信号作为计数器的时钟脉冲,分频信号作为输出。取M值在计数到0至N-1期间,0-M设置输出为低,M-N-1设置为高。M可调。//通
  • 2024-05-27基于FPGA的函数信号发生器设计
    本科时期的一个课设,现在将他分享出来,写了很详细的文章,可以直接拿去使用:设计采用波形查找表和相位累加器的方法实现DDS,查找表的数据位宽为8位,采样点数为4096。波形产生范围是100Hz-20MHz,最小频率间隔为1Hz,用8个数码管显示频率,可产生正弦波、方波、三角波,波形用两个led显示,select
  • 2024-05-26FPGA交通灯进阶
    1.进阶要求➢十字路分为主干道Highway和乡村公路Farmroad;➢路口的检测器C,没有检测到Farmroad上有等候的车子,Highway上的交通灯(HL)保持为绿灯;➢C检测到Farmroad上有车后HL再保持一段时间(例如30秒)才通过黄灯(例如3秒)变为红灯,同时Farmroad上的交通灯(FL)从红灯变为绿灯;➢Farm
  • 2024-05-25基于FPGA的NC图像质量评估verilog实现,包含testbench和MATLAB辅助验证程序
    1.算法运行效果图预览vivado2019.2和matlab2022a测试,结果如下:    2.算法运行软件版本vivado2019.2 matlab2022a 3.算法理论概述​      图像质量的含义包括图像的逼真度和图像的可读懂性。所谓图像的逼真度是指被评价图像与标准图像的偏离程度,偏差越
  • 2024-05-14基于肤色模型的人脸识别FPGA实现,包含tb测试文件和MATLAB辅助验证
    1.算法运行效果图预览matlab2022a的测试结果如下:   vivado2019.2的仿真结果如下:   将数据导入到matlab中,   系统的RTL结构图如下图所示:   系统包括中值滤波,RGB转换为ycbcr,人脸检测三个模块 2.算法运行软件版本vivado2019.2 matlab2022a
  • 2024-05-12浅析OSERDESE3
    在高速接口的应用场景下,我们会经常听说SerDes(Serializer-Deserializer)这个词,也就是串行器和解串器,更为通俗的讲就是进行串并转换的。在Xilinx的FPGA中提供了ISERDES(提供串行数据到并行数据的转换)和OSERDES(提供并行数据到串行数据的转换)。在7系列的FPGA里面提供了ISERDESE2和OSER
  • 2024-05-11SystemVerilog -- 10.1 SystemVerilog Covergroup and Coverpoint
    SystemVerilogCovergroupandCoverpointSystemVerilog是一种用户定义的类型,用于封装覆盖率模型的规范。它们可以定义一次,并通过函数在不同位置实例化多次。covergroupnewcovergroup可以在包、模块、程序、接口类中定义,通常封装以下信息:AsetofcoveragepointsCrosscov
  • 2024-05-10时序图
    时序图时序图1.参考资料2.基础3.符号3.1.斜线形式的上升沿、下降沿3.2.Eitheror信号3.3.波形省略3.2.1.虚线3.2.2.波浪号3.4.地址&数据表示4.实例-WT588F语音芯片时序图4.1.了解背景4.2.分析4.3.列逻辑4.4.根据逻辑写代码(伪代码)5.总
  • 2024-05-09SystemVerilog -- 11.2 SystemVerilog Concurrent Assertions
    SystemVerilogConcurrentAssertionsConcurrentAssertions描述了跨越仿真时间的行为,并且仅在时钟边沿发生时进行评估。SystemVerilogConcurrentAssertions语句可以在与其它语句同时运行的模块、接口或程序块中指定。以下是ConcurrentAssertions的属性:根据采样变量中的值