首页 > 其他分享 >004---FPGA在线调试(一)---内嵌的逻辑分析仪(ILA)

004---FPGA在线调试(一)---内嵌的逻辑分析仪(ILA)

时间:2024-12-16 18:31:28浏览次数:6  
标签:采样 cnt led FPGA ILA 999 timer ---

文章目录


摘要

文章主要介绍fpga内嵌的逻辑分析仪(ILA)的使用方法。以led工程为例,介绍几种方法,观察内部信号timer_cnt 和 led的变化。

一、ILA ip核

(1)点击 IP Catalog,在搜索框中搜索 ila,双击 ILA 的 IP。
在这里插入图片描述

(2)由于要采样两个信号,Probes 的数量设置为 2。
Sample Data Depth 指的是采样深度,设置的越高,采集的信号越多,同样消耗的资源也会越多。
在这里插入图片描述
(3)设置 Probe 的宽度,设置 PROBE0 位宽为 32,用于采样 timer_cnt。
设置 PROBE1 位宽为 4,用于采样 led。
在这里插入图片描述
(4)在 led.v 中例化 ila。

module led(
    input            sys_clk,
    input            rst_n,
                           
    output reg [3:0] led
    );
    
reg  [31:0] timer_cnt;

always@(posedge sys_clk or negedge rst_n)
begin
    if (!rst_n)
        timer_cnt <= 32'd0 ;
    else if(timer_cnt >= 32'd49_999_999)
        timer_cnt <= 32'd0;
    else
        timer_cnt <= timer_cnt + 32'd1;
end

always@(posedge sys_clk or negedge rst_n)
begin
    if (!rst_n)  
        led <= 4'd0 ;
    else if(timer_cnt >= 32'd49_999_999)
        led <= ~led;  
    else
        led <= led;   
end

ila ila_inst1 
(
	.clk(sys_clk), // input wire clk


	.probe0(timer_cnt), // input wire [31:0]  probe0  
	.probe1(led) // input wire [3:0]  probe1
);
endmodule

(5)重新生成 Bitstream、连接硬件、下载程序。
在这里插入图片描述

(6)弹出在线调试窗口,出现了添加的信号。
在这里插入图片描述

二、MARK DEBUG

在代码中添加综合属性,实现在线调试。

(1) 在 led 和 timer_cnt 的定义前面添加(* MARK_DEBUG=”true” *),保存led.v文件。
在这里插入图片描述

(2) 点击综合,综合结束后,点击 Set Up Debug。
在这里插入图片描述

在这里插入图片描述
在这里插入图片描述

(3)在 xdc 文件中即可看到添加的 ila 核约束。
在这里插入图片描述
(4)重新生成 Bitstream、连接硬件、下载程序。
在这里插入图片描述

(5)弹出在线调试窗口,出现了添加的信号。
在这里插入图片描述

标签:采样,cnt,led,FPGA,ILA,999,timer,---
From: https://blog.csdn.net/weixin_55949063/article/details/144493366

相关文章

  • leetcode2055. 蜡烛之间的盘子 - 前缀和
    2055.蜡烛之间的盘子这道题目作为比较单纯的前缀和题目,不需要额外的一些知识,只需要了解前缀和数组的生成与使用即可,并且也有一定的难度(难度分1819),是一个比较好的前缀和例题。题干算术评级:6第64场双周赛Q3给你一个长桌子,桌子上盘子和蜡烛排成一列。给你一个下标从0开始......
  • AlmaLinux 10.0 Beta “Purple Lion” - RHEL 二进制兼容免费发行版
    AlmaLinux10.0Beta“PurpleLion”-RHEL二进制兼容免费发行版由社区提供的免费Linux操作系统,RHEL二进制兼容发行版请访问原文链接:https://sysin.org/blog/almalinux-10/查看最新版。原创作品,转载请保留出处。作者主页:sysin.org由社区提供的免费Linux操作系统一......
  • 人脸修复与增强腾讯开源项目GFPGAN介绍
    GFPGAN简述GFPGAN(GenerativeFacialPriorGAN)是一种基于生成对抗网络(GAN)的面部图像修复与增强模型。它由腾讯ARCLab的研究团队开发,目的是以高效和高质量的方式修复低分辨率、受损或老化的人脸图像,同时保留其真实感和身份一致性。GFPGAN是当前图像处理领域的热门......
  • 半导体-IO Leakage 测试原理概述
    01】在IC设计中,我们理想的情况是芯片的输入引脚或那些有三态输出的引脚,对电源和地的电阻非常大。也就是说,当我们给这些引脚施加上电压时,流入或流出的电流应该要非常小,甚至小到忽略不计,但是这只存在于理想状态下。流入流出哲这些IO的这种小电流被称为漏电流。随着制造工艺的......
  • JHipster - 现代开发人员的全栈开发平台!
    Jhipster概述定义与起源:Jhipster是一个用于快速开发、构建和部署现代化Web应用程序和微服务架构的开发平台。它起源于对高效开发企业级应用的需求,结合了多种流行的前端和后端技术,为开发者提供了一个全栈式的解决方案。技术栈集成:Jhipster集成了许多先进的技术,在后端它支持Jav......
  • HTML开发 - head 标签中 meta 标签功能解析
    什么是meta标签<meta>标签是HTML中用于定义网页的元数据(metadata)的元素,它并不会直接在浏览器中显示出来。<meta>标签通常位于<head>标签内,主要用来提供网页的一些信息或说明,这些信息有助于搜索引擎优化(SEO)、页面内容设置、字符编码等meta标签的常见用途1.定义字符......
  • Moo University - Financial Aid POJ - 2010
    //MooUniversity-FinancialAidPOJ-2010.cpp:此文件包含"main"函数。程序执行将在此处开始并结束。///*https://vjudge.net/problem/POJ-2010#author=GPT_zh贝西注意到,尽管人类有许多大学可供就读,但奶牛却没有。为了解决这个问题,她和她的同伴们成立了一所新的大......
  • Day33:安全开发-JavaEE应用&SQL预编译&Filter过滤器&Listener监听器&访问控制
    一,JavaEE-过滤器Filter1,过滤器的含义:Filter被称为过滤器,过滤器实际上就是对Web资源进行拦截,做一些处理后再交给下一个过滤器或Servlet处理,通常都是用来拦截request进行处理的,也可以对返回的response进行拦截处理。开发人员利用filter技术,可以实现对所有Web资源的管理,例如实现......
  • 大数据新视界 -- Hive 数据倾斜问题剖析与解决方案(2 - 16 - 5)
           ......
  • 打卡信奥刷题(431)用C++信奥B3969[普及组/提高]B3969 [GESP202403 五级] B-smooth 数
    [GESP202403五级]B-smooth数题目描述小杨同学想寻找一种名为$B$-smooth数的正整数。如果一个正整数的最大质因子不超过$B$,则该正整数为$B$-smooth数。小杨同学想知道,对于给定的$n$和$B$,有多少个不超过$n$的$B$-smooth数。输入格式第一行......