首页 > 其他分享 >vivado无工程生成固件及时序报告

vivado无工程生成固件及时序报告

时间:2024-08-17 23:37:42浏览次数:9  
标签:route 生成 vivado proj file output 固件 post dir

  做IC一般都是使用linux系统进行编写代码,综合仿真等操作。因此没有图像化界面只跑脚本是提高效率的一种方式,笔者以前一直使用图像化界面的方式对Vivado工程进行编译综合,后来学会了windows下也可以使用脚本直接无工程生成bit文件,时序报告等。

  步骤大致如下,rtl.list在上文有提及,这里不重复讲具体怎么写。

  1. 首先是打开vivado在windows下的shell

  接着跳出指令画面

   2. 进入到需要编译的工程所在的目录下

   3. 在当前目录下的文件如下,其中src存放的是代码,IP核,xdc文件等文件,这些上一篇文章有提到,都是同一个工程

   run.tcl的内容如下

set name ETH_Display_v1

set proj_dir D:/project/ETH_Display

set output_dir ./run_out_$name
file mkdir $output_dir

set_part xczu3eg-sfvc784-1-i

source $proj_dir/rtl_list.tcl
#read_xdc $proj_dir/constraints/AXU3EG.xdc

set_param general.maxThreads 16

synth_design -top AXU3EG_top -part xczu3eg-sfvc784-1-i     \
-include_dirs $proj_dir/src/hdl                            \
-include_dirs $proj_dir/src/hdl/udp                        \
-include_dirs $proj_dir/src/hdl/udp/arp                    \
-include_dirs $proj_dir/src/hdl/udp/gmii_to_rgmii        
#sfcu


write_checkpoint -force $output_dir/postsynth
write_verilog -force $output_dir/postsynth_netlist.v
report_clocks -file $output_dir/clock_out.txt

opt_design
place_design -directive AltSpreadLogic_high
write_checkpoint -force $output_dir/post_place

route_design
write_checkpoint -force $output_dir/post_route

report_timing_summary -max_paths 5 -file $output_dir/post_route_timing_summary.rpt
report_timing -sort_by group -max_path 5 -path_type summary -file $output_dir/post_route_timing.rpt

report_utilization -file $output_dir/post_route_util.rpt
report_utilization -hierarchical -append -file $output_dir/post_route_util.rpt

write_bitstream -force -bin_file $output_dir/$name.bit                    

  之后只需在步骤2处输入指令source run.tcl即可,然后等待编译完成即可,编译完成目录下会出现生成的文件。

  以上是笔者在vivado2020.1版本进行的无工程生成固件的操作,不同的vivado版本对指令也存在差异,正常报错会提示。笔者觉得使用无工程生成固件比UI界面生成的方式要快不少。

标签:route,生成,vivado,proj,file,output,固件,post,dir
From: https://www.cnblogs.com/WenGalois123/p/18365170

相关文章

  • bat 检查某个补丁是否安装成功 ,并将结果输出到日志1.log,支持多个补丁,每次运行log文件
    以下是一个可以检查多个补丁是否安装成功,并将结果输出到 1.log 文件(每次运行重新生成)的BAT脚本示例:bat@echooffrem清空日志文件del1.logrem定义要检查的补丁列表setpatches=KB123456KB789101KB234567rem遍历补丁列表进行检查并输出结果到日志for%%pin......
  • JavaDoc生成文档两种方式
    JavaDoc生成文档方法一:通过命令行/***@authorzhang*@version1.0.0*@since1.8*/publicclasstest{Stringname;publicStringtest(Stringname)throwsException{returnname;}}在String下面输入/**,按Enter键在所建类中,......
  • 在 CentOS 上扩展xfs逻辑卷(本文由ChatGPT生成,并成功验证)
    简介在用df-h命令查看磁盘空间时,发现/根目录的空间很小,最后决定扩展一些[root@localhost]#df-h文件系统容量已用可用已用%挂载点/dev/mapper/centos-root50G22G28G3%//dev/mapper/centos-home1857G33M1857G1%/homeoverla......
  • php生成短链功能
    直接上代码<?phpfunctionshortUrl($url){$charset="0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz";$key='this-is-salt';//加盐$timestamp=time();//时间戳$random=mt_rand();//随机数$urlHash=m......
  • 括号生成-力扣
    classSolution{private:vector<string>result;stringstr;public:voidbacktracking(intn,intl,intr){if(l==n&&r==n){result.push_back(str);return;}if(l<n){......
  • 在亚马逊云科技上部署开源大模型并利用RAG和LangChain开发生成式AI应用
    项目简介:小李哥将继续每天介绍一个基于亚马逊云科技AWS云计算平台的全球前沿AI技术解决方案,帮助大家快速了解国际上最热门的云计算平台亚马逊云科技AWSAI最佳实践,并应用到自己的日常工作里。本次介绍的是如何在亚马逊云科技上利用SageMaker机器学习服务部署开源大模型,使用La......
  • 【生日视频制作】飞机机身AE模板修改文字软件生成器教程特效素材【AE模板】
    飞机机身生日视频制作教程AE模板改文字特效广软件告生成器素材【生日视频制作】飞机机身AE模板修改文字软件生成器教程特效素材【AE模板】生日视频制作步骤:安装AE软件下载AE模板把AE模板导入AE软件修改图片或文字渲染出视频......
  • 将VAE用于时间序列:生成时间序列的合成数据
    变分自编码器(VAEs)是一种生成式人工智能,因其能够创建逼真的图像而备受关注,它们不仅可以应用在图像上,也可以创建时间序列数据。标准VAE可以被改编以捕捉时间序列数据的周期性和顺序模式,然后用于生成合成数据。本文将使用一维卷积层、策略性的步幅选择、灵活的时间维度和季节性......
  • AIGC新手炼丹师快速生成属于自己的lora--示范样例:北条时行(出自:擅长逃跑的殿下)
    目录一.准备工作:安装stable-diffusion和sd-trainer二.准备数据集2.1确定你想要的数据集2.2准备数据集2.2.1对于人物类的数据集2.2.2数据集的处理2.2.3数据集所在文件夹参考位置(出于方便考虑,仅供参考):2.2.4由数据集生成标签                  2.2.5......
  • 最新AI生成视频工具!效果不输快手可灵,CogVideoX下载介绍
    要说AI生成视频最火的项目,当属国产的快手可灵了,甚至比OpenAI的Sora还要火,前者还是个ppt,可灵已经在落地公测了,博主在前段时间申请试用通道的时候,竟然排到几十万人开外的位置,好在最后还是拿到了使用资格,还没用上的外国友人只能干着急,在社交媒体发「求求了!」但就在最近,可灵开始......