首页 > 其他分享 >数字电子基础——编码器

数字电子基础——编码器

时间:2024-04-08 12:33:09浏览次数:14  
标签:编码 编码器 数字 电子 I7 overline 优先 输入

编码器

编码:用文字、符号或数字表示特定对象的过程。在数字电路中,采用二进制进行编码

编码器:实现编码功能的电路

在这里插入图片描述

二进制编码器

用 n n n 位二进制代码对 N = 2 n N=2^n N=2n 个信号进行编码的电路

应用举例

【例】设计一个能将 I 0 、 I 1 、 . . . 、 I 7 I_0、I_1、...、I_7 I0​、I1​、...、I7​ 8个输入信号编成二进制代码输出的编码器,用与非门实现

  • Step1:分析设计要求,列出真值表

    由题意可知,该编码器有8个输入信号,分别是 I 0 、 I 1 、 . . . 、 I 7 I_0、I_1、...、I_7 I0​、I1​、...、I7​ ,有编码请求时,输入信号用1表示,没有时为0。根据 2 n ≥ N = 8 2^n≥N=8 2n≥N=8 可求得输出 n = 3 n=3 n=3 ,为3位二进制代码,分别用 Y 0 、 Y 1 、 Y 2 Y_0、Y_1、Y_2 Y0​、Y1​、Y2​ 表示

    普通二进制编码器是一种多输入、多输出的组合电路,在某一时刻只能对一个输入信号进行编码,不允许有两个或两个以上信号同时有效具相互排斥性 ,可得真值表如下:
    在这里插入图片描述

  • Step2:根据真值表写出输出逻辑函数表达式

    Y 2 = I 4 + I 5 + I 6 + I 7 = I 4 ‾ ⋅ I 5 ‾ ⋅ I 6 ‾ ⋅ I 7 ‾ ‾ Y_2 = I_4 + I_5 + I_6 + I_7 = \overline{\overline{I_4} · \overline{I_5} ·\overline{I_6 } · \overline{I_7} } Y2​=I4​+I5​+I6​+I7​=I4​​⋅I5​​⋅I6​​⋅I7​​​

    Y 1 = I 2 + I 3 + I 6 + I 7 = I 2 ‾ ⋅ I 3 ‾ ⋅ I 6 ‾ ⋅ I 7 ‾ ‾ Y_1 = I_2 + I_3 + I_6 + I_7 = \overline{\overline{I_2} · \overline{I_3} ·\overline{I_6 } · \overline{I_7}} Y1​=I2​+I3​+I6​+I7​=I2​​⋅I3​​⋅I6​​⋅I7​​​

    Y 0 = I 1 + I 3 + I 5 + I 7 = I 1 ‾ ⋅ I 3 ‾ ⋅ I 5 ‾ ⋅ I 7 ‾ ‾ Y_0 = I_1 + I_3 + I_5 + I_7= \overline{\overline{I_1} · \overline{I_3} ·\overline{I_5} · \overline{I_7}} Y0​=I1​+I3​+I5​+I7​=I1​​⋅I3​​⋅I5​​⋅I7​​​

  • Step3:画逻辑图

    在这里插入图片描述

二 - 十进制编码器

能实现二-十进制编码的电路称为二-十进制编码器,工作原理与二进制编码器无本质区别

应用举例

【例】设计一个二-十进制编码器,它能将 I 0 、 I 1 、 . . . 、 I 9 I_0、I_1、...、I_9 I0​、I1​、...、I9​ 10个输入信号编成 8421 BCD 码输出

  • Step1:分析设计要求,列出真值表

    在这里插入图片描述

  • Step2:根据真值表写出输出逻辑函数表达式

    Y 3 = I 8 + I 9 Y_3 = I_8 + I_9 Y3​=I8​+I9​

    Y 2 = I 4 + I 5 + I 6 + I 7 Y_2 = I_4 + I_5 + I_6 + I_7 Y2​=I4​+I5​+I6​+I7​

    Y 1 = I 2 + I 3 + I 6 + I 7 Y_1 = I_2 + I_3 + I_6 + I_7 Y1​=I2​+I3​+I6​+I7​

    Y 0 = I 1 + I 3 + I 5 + I 7 + I 9 Y_0 = I_1 + I_3 + I_5 + I_7 + I_9 Y0​=I1​+I3​+I5​+I7​+I9​

  • Step3:画逻辑图

    在这里插入图片描述

优先编码器

允许同时输入多个编码信号,并只对其中优先级别最高的信号进行编码输出的电路

3位二进制优先编码器

3位二进制优先编码器真值表

设 I 7 I_7 I7​ 优先级最高, I 6 I_6 I6​ 次之,依次 I 0 I_0 I0​ 最低,优先级高的信号排斥级别低的,具有单方面排斥的特性
在这里插入图片描述

“×”表示被排斥,也就是说有优先级别更高的信号存在,级别低的输入信号取值无论是 1 还是 0 都无所谓,对电路输出均无影响

逻辑表达式

在这里插入图片描述

画逻辑图

在这里插入图片描述

典型的优先编码器 —— 集成8线-3线优先编码器

在这里插入图片描述

① I 0 I_0 I0​ ~ I 7 I_7 I7​ :信号输入端
② Y 0 ‾ 、 Y 1 ‾ 、 Y 2 ‾ \overline{Y_0}、\overline{Y_1}、\overline{Y_2} Y0​​、Y1​​、Y2​​ :编码输出端
③ S T ‾ \overline{ST} ST :选通输入端(使能端、片选端)
④ Y s Y_s Ys​ :选通输出端
⑤ Y E S ‾ \overline{Y_{ES}} YES​​ :优先扩展输出端

8线-3线优先编码器真值表
在这里插入图片描述

功能分析:
① S T ‾ \overline{ST} ST :使能端是低电平有效(第一行和其他行比较分析)
② Y s Y_s Ys​ :编码器工作,但无编码请求时为低电平(第二行分析:由于输入是低电平有效,当输入全为 1 时无效,输出也全为 1 表示无效, Y s Y_s Ys​ 为 0)
③ Y E S ‾ \overline{Y_{ES}} YES​​ :编码器工作,且有编码请求时为低电平(第3~10行分析)
④所有输入中 I 7 I_7 I7​ 优先级最高, I 0 I_0 I0​ 最低

级联应用 —— 两片8线-3线优先编码器级联构成16线-4线优先编码器
器工作,且有编码请求时为低电平(第3~10行分析)
④所有输入中 I 7 I_7 I7​ 优先级最高, I 0 I_0 I0​ 最低

级联应用 —— 两片8线-3线优先编码器级联构成16线-4线优先编码器
在这里插入图片描述

标签:编码,编码器,数字,电子,I7,overline,优先,输入
From: https://blog.csdn.net/m0_74209563/article/details/137503489

相关文章

  • 如何用加密技术守护你的数字世界(4):非对称加密
    该文章Github地址:https://github.com/AntonyCheng/encryption-notes【有条件的情况下推荐直接访问GitHub以获取最新的代码更新】在此介绍一下作者开源的SpringBoot项目初始化模板(Github仓库地址:https://github.com/AntonyCheng/spring-boot-init-template【有条件的情况......
  • 如何用加密技术守护你的数字世界(2):对称加密
    该文章Github地址:https://github.com/AntonyCheng/encryption-notes【有条件的情况下推荐直接访问GitHub以获取最新的代码更新】在此介绍一下作者开源的SpringBoot项目初始化模板(Github仓库地址:https://github.com/AntonyCheng/spring-boot-init-template【有条件的情况......
  • 如何用加密技术守护你的数字世界(5):单向散列函数
    该文章Github地址:https://github.com/AntonyCheng/encryption-notes【有条件的情况下推荐直接访问GitHub以获取最新的代码更新】在此介绍一下作者开源的SpringBoot项目初始化模板(Github仓库地址:https://github.com/AntonyCheng/spring-boot-init-template【有条件的情况......
  • 电子元器件选型与实战应用—11 5000字介绍,三极管的选型和常用电路
    文章目录1.简介2.带阻三极管2.1带阻三极管和普通三极管区别2.2电路案例讲解带阻和普通三极管区别3.三极管常用电路解析3.1三极管门电路3.1.1三极管非门3.1.2三极管与门3.1.3三极管或门3.1.4三极管与非门3.1.5三极管或非门3.2稳压电路......
  • 全能数字音乐工作站(DAW)FL Studio 24.0.99.4077中文版震憾来袭
    全能数字音乐工作站(DAW)编曲、剪辑、录音、混音,20余年的技术积淀和实力研发,FLStudio24.0.99.4077中文版已经从电音领域破圈,成功蜕变为全球瞩目的全能DAW,把电脑变成全功能音乐工作室,接下来我们会为您一一展示FLStudio24.0.99.4077官方中文版重磅发布纯正简体中文支持,更......
  • 力扣由浅至深 每日一题.21 只出现了一次的数字
    世界大雨滂沱,万物苟且而活               ——24.4.1只出现一次的数字给你一个 非空 整数数组 nums ,除了某个元素只出现一次以外,其余每个元素均出现两次。找出那个只出现了一次的元素。你必须设计并实现线性时间复杂度的算法来解决此......
  • 电子技术与创新 过程性学习文档 第4~6周
    电子技术与创新课程第二阶段(第4~6周)思维导图   思维导图完整版详见文章顶部资源,如有需要可以下载查看,仅供学术交流使用思维导图的大纲形式第二次仿真作业文档第三次仿真作业文档第四次作业:同相滞回比较器阈值&电压传输特性曲线绘制......
  • 基于Spring Cloud + Spring Boot的企业电子招标采购系统源码
    随着企业的快速发展,招采管理逐渐成为企业运营中的重要环节。为了满足公司对内部招采管理提升的要求,建立一个公平、公开、公正的采购环境至关重要。在这个背景下,我们开发了一款电子招标采购软件,以最大限度地控制采购成本,提高招投标工作的公开性和透明性,并确保符合国家电子招投标......
  • 免费小程序商城搭建之b2b2c o2o 多商家入驻商城 直播带货商城 电子商务b2b2c o2o 多商
     1.涉及平台平台管理、商家端(PC端、手机端)、买家平台(H5/公众号、小程序、APP端(IOS/Android)、微服务平台(业务服务) 2.核心架构SpringCloud、SpringBoot、Mybatis、Redis3.前端框架VUE、Uniapp、Bootstrap/H5/CSS3、IOS、Android、小程序4.核心思想分布式、微服务......
  • 【Java业务需求解决方案】分布式锁应用详情,多种方案选择,轻松解决,手把手操作(非全数
    目录背景:解决方案:分布式锁方案一(不建议,但原理得懂):Redis锁setnx与业务代码处理雏形代码产生问题一:锁释放问题代码改造:锁添加过期时间产生问题二:锁被别的线程误删代码改造:添加setnx锁请求标识防勿删产生问题三:递归容易造成内存溢出代码改造:递归改造while循环产生......