首页 > 其他分享 >总线合集-video总线

总线合集-video总线

时间:2024-04-07 22:15:49浏览次数:23  
标签:src itf dst 总线 sys video 合集

模板-V1

模型功能

  1. 将视频传输中的数据导入到总线上,方便后续的处理和拓展

模型框图

video_interface.sv

`include "../public_files/public_macro.svh"
interface video_interface;
logic clk;
logic rst_n;
logic fsync;
logic vsync;
logic hsync;
logic psync;
logic [`WD_VIDEO_VDATA - 1:0] vdata;

logic assert_open; //assert open flag
endinterface

public_macro.svh

// --------------------------------------------------------------------
// width macro
`define WD_VIDEO_VDATA 24

public_libs.svh

// ######################################################################
// lib include

`include "../public_interface/video_interface.sv"

tb_video_system_top.sv

// --------------------------------------------------------------------
// add sim libs of every scene
`include "./public_files/public_libs.svh"
`include "./public_files/public_macro.svh"

`timescale 1ns / 1ps
module tb_video_system_top #(
    
   )(
    
);
// --------------------------------------------------------------------
// add system clock and rst
reg i_sys_clk    = 0;
reg i_sys_resetn = 0;
always #5 i_sys_clk = ~i_sys_clk;
initial #1000 i_sys_resetn = 1;
// --------------------------------------------------------------------
// add video interface
//src
video_interface src_video_itf();
assign src_video_itf.clk = i_sys_clk;
assign src_video_itf.rst_n = i_sys_resetn;
//dst
video_interface dst_video_itf();
assign dst_video_itf.clk = i_sys_clk;
assign dst_video_itf.rst_n = i_sys_resetn;

// --------------------------------------------------------------------
// add DUT to test
video_gray_cacu#(
    .MD_SIM_ABLE       ( 0 ),
    .WD_DATA           ( 24 ),
    .WD_BYTE           ( 8 ),
    .WD_ERR_INFO       ( 4 )
)u_video_gray_cacu(
    .i_sys_clk         ( i_sys_clk         ),
    .i_sys_resetn      ( i_sys_resetn      ),
    .s_video_src_fsync ( src_video_itf.fsync ),
    .s_video_src_vsync ( src_video_itf.vsync ),
    .s_video_src_hsync ( src_video_itf.hsync ),
    .s_video_src_psync ( src_video_itf.psync ),
    .s_video_src_vdata ( src_video_itf.vdata ),
    .m_video_dst_fsync ( dst_video_itf.fsync ),
    .m_video_dst_vsync ( dst_video_itf.vsync ),
    .m_video_dst_hsync ( dst_video_itf.hsync ),
    .m_video_dst_psync ( dst_video_itf.psync ),
    .m_video_dst_vdata ( dst_video_itf.vdata ),
    .m_err_video_info1 (   )
);


endmodule

层次说明

  • 除了顶层文件,其他所有文件都在二级目录,包括后面新增的文件,都将以一二级目录的形式进行
    • 顶层调用场景scene,scene调用对应阶段phase的对应任务
    • 由于每次的调用都应该是可以任意连接的,所以将scene和phase放置在同一级没有问题

实现步骤

建立总线合集

  1. 如模型所示,编写带有各种信息的总线
  2. 由于总线具有指代性,所以可以在不改变原有信号的基础上,不断拓展而不需要考虑中间层的连接
  3. 总线主要是提供数据通道,同时也是驱动的最小单元
  • 基于总线的驱动可以更好地满足后续地拓展和维护地需求

构建公共文件

  1. 使用public_macro将所有的常数汇集,以免后续由于硬件升级导致需要大量修改参数
  2. 使用public_libs将所有的类以及各种结构包含在一个文件中方便顶层调用,这些调用是存在顺序的,在仿真时尤为注意

构建带总线集合的仿真顶层

  1. 例化video总线,
  2. 连接总线的各个元素。如时钟、信号,由于暂时未加入断言,所以断言标志未连接
  3. 例化DUT,完成一个系统仿真所需的基本要件

最终效果

vivado视图

调用接口

源码压缩包

工程源码

标签:src,itf,dst,总线,sys,video,合集
From: https://www.cnblogs.com/electricdream/p/18119904

相关文章

  • 【专题】2023新消费品牌的中国范式报告PDF合集分享(附原数据表)
    原文链接:https://tecdat.cn/?p=34074原文出处:拓端数据部落公众号近年来,随着中国消费升级的趋势,新兴消费品牌在市场上逐渐崭露头角。这些品牌以挑战者的身份进入市场,通过创新的供应链、产品和营销策略,以用户为核心满足新的消费需求,实现了短期内的强劲增长和销售规模的快速扩张。......
  • 【专题】2024年3月电商行业报告合集汇总PDF分享(附原数据表)
    原文链接:https://tecdat.cn/?p=35663原文出处:拓端数据部落公众号随着数字技术的飞速发展,电商行业正经历着前所未有的变革。本报告合集汇总旨在梳理2024年3月电商领域的最新动态和发展趋势。我们将从行业趋势与细分领域研究入手,深入探讨3C数码商用品、母婴营养品以及AI数字人产......
  • Android 音视频开发 - VideoView
    Android音视频开发-VideoView本篇文章主要介绍下Android中的VideoView.1:VideoView简介VideoView是一个用于播放视频的视图组件,可以方便地在应用程序中播放本地或网络上的视频文件。VideoView可以直接在布局文件中使用,也可以在代码中动态创建。它封装了MediaPlayer和Sur......
  • matlab/simulink仿真全合集---电力电子的simulink仿真
    simulink仿真新手大礼包,共整理了9份simulink仿真模型,每一份都是完美运行,适合电气工程专业/电力电子专业的新手学习。1、Boost电路simulink仿真,boost电路模块搭建和用传递函数进行验证, 电流开环控制、电流闭环控制、电压电流双闭环控制。闭环控制包括:PID控制,超前补偿,前......
  • 智能视频翻译和配音处理工具:Pyvideotrans
    pyVideoTrans:一键字幕识别+翻译+配音=带新语言字幕和配音的视频 - 精选真开源,释放新价值。概览Pyvideotrans是一款卓著的智能化视频处理系统,专精于视频翻译与配音艺术,以其卓越的技术实力实现对原始视频中音频信息的精准捕捉、智能翻译,以及与背景音乐等多元原视频元素的无......
  • [车联网] CAN总线详解 [转]
    0序1CAN总线-概述1.0简介CAN是控制器局域网络(ControllerAreaNetwork,CAN)的简称,是一种能够实现分布式实时控制的串行通信网络。1.1优点传输速度高。传输速度最高到1Mbps,通信距离最远到10km,无损位仲裁机制,多主结构。近些年来,CAN控制器价格越来越低。低成本:ECUs通......
  • R语言广义线性混合模型GLMMs在生态学中应用可视化2实例合集|附数据代码
    全文链接:https://tecdat.cn/?p=35607原文出处:拓端数据部落公众号在生态学研究领域,广义线性混合模型(GeneralizedLinearMixedModels,简称GLMMs)是一种强大的统计工具,能够同时处理固定效应和随机效应,从而更准确地揭示生态系统中复杂关系的本质。随着数据分析技术的不断发展,R语言......
  • WPF-基础及进阶扩展合集(持续更新)
    目录一、基础1、GridSplitter分割线2、x:static访问资源文件3、wpf触发器4、添加xaml资源文件5、Convert转换器6、多路绑定与多路转换器二、进阶扩展1、HierarchicalDataTemplate2、XmlDataProvider从外部文件获取源3、TextBox在CellTemplate中的焦点问题4、让窗体......
  • 盘点AI编程效率神器合集,代码助手工具大模型、Agent智能体
    关注wx公众号:aigc247进社群加wx号:aigc365程序员是最擅长革自己命的职业,让我们借助AI的力量一起摸鱼一起卷!据说好用的AI代码助手工具、大模型、Agent智能体微软的compoliot:AI神器之微软的编码助手Copilot-CSDN博客阿里的: 通义灵码_智能编码助手_AI编程-阿里云智谱AI的C......
  • 【专题】2024年中国金融科技(FinTech)行业发展洞察报告合集PDF分享(附原数据表)
    原文链接:https://tecdat.cn/?p=35581原文出处:拓端数据部落公众号金融监管体系的深刻变革正引领金融科技行业迈入一个更为严格且精细化的超级监管时代。在这个时代,数据要素的应用和金融场景的建设已经成为行业内不容忽视的关键领域。为顺应这一变革趋势,为金融机构提供紧贴其业务......