首页 > 其他分享 >VL25 输入序列连续的序列检测

VL25 输入序列连续的序列检测

时间:2024-04-02 19:35:45浏览次数:19  
标签:--------------------------------------------------------------------------------

解析:
本题目较为简单,有两种思路,一种是状态机方法,一种是移位寄存器方法,因为题目未要求使用哪种方法,因此这里采用较为简洁的移位寄存器方法。

//--------------------------------------------------------------------------------------------------------
// Module  : sequence_detect
// Standard: Verilog 2005
// Function: 编写一个序列检测模块,检测输入信号a是否满足01110001序列,当信号满足该序列,给出指示信号match。
// Author  : BruceSong
// Date    : 2024.04.02
// Version : 1.0
//--------------------------------------------------------------------------------------------------------
`timescale 1ns/1ns
module sequence_detect(
	input           clk,
	input           rst_n,
	input           a,
	output reg      match
	);

reg [7:0] data_reg;

always @ (posedge clk or negedge rst_n) begin
    if(!rst_n) begin
    	data_reg <= 8'b0;
    end
    else begin
        data_reg <= {data_reg[6:0],a};
    end
end

always @ (posedge clk or negedge rst_n) begin
    if(!rst_n) begin
    	match <= 8'b0;
    end
    else begin
    	if(data_reg == 8'b01110001)
            match <= 1'b1;
        else    begin
            match <= 1'b0;
        end
    end
end

endmodule

标签:--------------------------------------------------------------------------------
From: https://www.cnblogs.com/Bruceson/p/18111344

相关文章

  • 2024年4月1日-UE5-让角色动起来,增强输入系统
    新建一个输入文件夹 创建一个输入映射情境  再创建一个输入操作 打开移动把值类型改成2D 打开战斗意境,选择前面的“移动”,然后设置一个W,往前移动 然后在根目录下创建一个游戏基础 创建一个蓝图类,选择游戏模式基础 再创建一个 打开游戏模式基础蓝......
  • 九宫格按键输入
    华为OD算法题记录–前言一、题目描述九宫格按键输入,输出显示内容,有英文和数字两个模式,默认是数字模式,数字模式直接输出数字,英文模式连续按同一个按键会依次出现这个按键上的字母,如果输入""或者其他字符,则循环中断。二、输入描述:输入范围为数字0~9和字符#、/,输出屏幕......
  • Python解压序列
    一.普遍情况:x,y,z=1,2,3print("x:",x)#x:1print("y:",y)#y:2print("z:",z)#z:3二.针对元祖:name=("qiaobushi","wanglihong","leibushi")x,y,z=nameprint(name)print("x:",x)print(......
  • C语言基础-标准输入输出
    标准库实现了简单文本的输入输出模式。以下的示例在使用时都需要先包含标准输入输出头文件stdio.h#include<stdio.h>输入getchar函数intgetchar(void);从标准输入(一般为键盘)中一次获取一个字符调用时,返回输入的字符遇到文件结尾时返回EOFEOF也定义在stdio.h中,其......
  • 74LV00A四路2输入NAND门
    这份文件是Nexperia74LV00A四路2输入NAND门的产品数据手册。以下是文件的核心内容概要:概述:74LV00A是一款由Nexperia生产的四路2输入NAND门集成电路。以下是对该产品的详细概述:1.**基本功能**:-74LV00A提供了四个独立的2输入NAND逻辑门,这些门可以实现基本的逻辑......
  • CDR2024序列号keygen注册机最新免费网盘下载
    CorelDRAW2024是一款智能高效的平面设计软件,广泛应用于排版印刷、矢量图形编辑及网页设计等领域。它集矢量绘图、文本编辑、图像处理等多种功能于一身,可以满足各种设计需求。来自UC网盘分享文件:CDR2019-2024新旧软件安装包网盘绿色下载地址链接:手机打开微信扫一扫获取网盘......
  • 详解数仓对象设计中序列SEQUENCE原理与应用
    本文分享自华为云社区《GaussDB(DWS)对象设计之序列SEQUENCE原理与使用方法介绍》,作者:VV一笑。1.前言适用版本:8.2.1及以上版本序列SEQUENCE用来生成唯一整数的数据库对象,本文对序列SEQUENCE的使用场景、使用方法及相关函数进行了介绍,并针对序列SEQUENCE在使用中容易遇到的问......
  • 蓝桥杯真题代码记录(松散子序列
    目录1.题目:2.我的代码:小结:1.题目:给定一个仅含小写字母的字符串s,假设s的一个子序列t的第i个字符对应了原字符串中的第pi个字符。我们定义s的一个松散子序列为:对于i>1总是有pi−pi−1≥2。设一个子序列的价值为其包含的每个字符的价值之和......
  • 线性回归和时间序列分析北京房价影响因素可视化案例
    全文链接:http://tecdat.cn/?p=21467最近我们被客户要求撰写关于北京房价的研究报告,包括一些图形和统计输出。在本文中,房价有关的数据可能反映了中国近年来的变化目的人们得到更多的资源(薪水),期望有更好的房子人口众多独生子女政策:如何影响房子的几何结构?更多的卧室,更多的空......
  • 【跳频通信】基于Gold码序列跳频通信附Matlab代码
     ✅作者简介:热爱科研的Matlab仿真开发者,修心和技术同步精进,代码获取、论文复现及科研仿真合作可私信。......