首页 > 其他分享 >vivado通过eco修改网表加快调试

vivado通过eco修改网表加快调试

时间:2024-04-01 15:59:15浏览次数:21  
标签:ila eco xxx routed vivado 添加 design 网表 dcp

在FPGA工程中,在调试过程中需要插入ila来验证设计的准确性,但一次验证不能达到设计的初衷,需要反复修改ila来定位问题,往往一个大工程编译、布线时间较长,反复定位问题非常耗费时间。xilinx系列的vivado16.4以上版本支持了类似ic设计的ECO功能。

1、dcp文件

在implement成功后,/xxx.runs/impl_1文件夹下会有xxx_opt.dcp、xxx_placed.dcp、xxx_routed.dcp三个DCP文件。

  • xxx_opt.dcp:在opt_design完成之后生成,opt_design主要是完成逻辑优化等;
  • xxx_placed.dcp在placed_design完成之后生成,placed_design主要是完成布局工作;
  • xxx_routed.dcp在routed_design完成之后生成,routed_desig主要是完成布线工作。

2、使用场景

以下几种场景可以使用eco功能

  • 板级调试时,发现需要观测的信号没有添加到ila中。可使用ECO功能下的Replace Debug Probes(已经有ILA模块,只是没有加进去);
  • 板级调试时,需要观测的信号没有添加到ILA中,且没有例化ILA核。可以在Debug功能生成Debug Core;
  • 初始化RAM、ROM初始值。对RAM18E和RAM36E模块进行初始化;
  • 逻辑功能有问题,需要简单的添加接地、拉高、FF、LUT等(理论上底层模块都能添加)。

3、替换ila引脚

  • 打开xxx_routed.dcp

在xxx.runs/impl_1/找到xxx_routed.dcp

选择ECO选项

按照图示替换ila已有信号为选取的信号,点击OK

因为只是修改了布线,布局没有改动,所以需要Route Design。Optimize Physical Design是优化布局、时序等。大工程不优化有可能布不成功。布局完成,直接Generate Bitstream、write Debug probes。

还有可以添加ila模块、初始化RAM、添加逻辑块等功能可参考https://blog.csdn.net/zhup062787/article/details/104888398

标签:ila,eco,xxx,routed,vivado,添加,design,网表,dcp
From: https://www.cnblogs.com/stephenkang/p/18108132

相关文章

  • Postfix + Dovecot IMAP 服务器的终极指南,完整支持 SPF、DKIM 和 DMARC,以及多域名设
    邮件服务器配置指南本指南将带您完成Postfix+DovecotIMAP服务器的设置,支持SPF、DKIM和DMARC,还将提供多域名配置的额外指导。在本指南中,domain.com将作为您的根域名,mail.domain.com将作为您邮件服务器的主机名。0x01添加DNS记录在您的域名下添加如下DNS记录:mailI......
  • Windows安装DevEco Studio
    1.在https://developer.harmonyos.com网站上注册账号;2.下载DevEcoStudio安装文件,选择Windows64位版本;3.运行安装文件,开始安装;4.选择Donotimportsettings;5.运行DevEcoStudio,安装Node.js和Ohpm;6.下载SDK; 7.创建一个鸿蒙项目,等待下载Gradle;8.......
  • EasyRecovery15最新破解版注册机激活码
    EasyRecovery是一款在市场上广受欢迎的数据恢复软件,具备许多强大而实用的功能。首先,它支持多种媒体类型的数据恢复,包括硬盘驱动器、存储设备、光学媒体、多媒体/移动设备以及RAID系统等。这意味着,无论数据是从哪种类型的设备中丢失的,都有机会通过EasyRecovery进行恢复。在使用......
  • List和ObservableCollection的转换
    1、我们后台查询全部List数据的时候,前台需要ObservableCollection展示这个时候List需要转换成ObservableCollectionpublicstaticObservableCollection<T>ToObservableCollection<T>(thisIEnumerable<T>source){if(source==null){thrownewArg......
  • NewStarCTF-secondweek
    一、新建Word文档1.doc文档隐写,将如图所示的设置打开,即可看到文字。2.新佛曰加密,在线网站解密。(http://hi.pcmoe.net/buddha.html)二、永不消逝的电波1.附件是个音频,audacity打开,可以看到明显的长短波。2.莫斯密码解密即可。源报文:..-./.-../.-/--./-/...././-..././.../......
  • vivado 硬件器件编程
    硬件器件编程当编程文件与硬件器件相关联后,即可在“硬件(Hardware)”窗口中右键单击器件并选择“器件编程(ProgramDevice)”菜单项来执行硬件器件编程。您也可以使用program_hw_deviceTcl命令。例如,要对JTAG链中的首个器件执行编程,请使用以下Tcl......
  • 在 Vivado 中执行远程调试
    在Vivado中执行远程调试远程调试需求可能在各种情况下出现。在产品原型设计阶段可能需要远程调试以便您在无法实地访问实验室的情况下对实验室中的设计进行调试,或者供您在组织内部共享资源。执行现场调试以诊断问题或者延长产品生命周期时也可能需要远程调试。......
  • UE4 C++ Widget的NativeConstruct 与 NativePreConstruct
    构造函数由于Widget是由UE的反射系统创建的,其生命周期由UE引擎管理,所以并不存在构造函数,UE为Widget类定义了两个虚函数NativeConstruct与NativePreConstruct来充当构造函数的作用。而这两个函数的调用都必须在Widget被实例化之后才能进行调用如何在Widget中获取角色在蓝图节......
  • Ask HN: Recommended resources to learn the Linux kernel and OS theory?
     https://news.ycombinator.com/item?id=20809666 SorecentlyIdidacoupleofminorpatchesontheFreeBSDandNetBSDkernelsandplayedwithsomelinuxkernel.Itwasthefirsttimeinaafewyears,I'vebeenexcitedaboutprogramming.Unfortunat......
  • 【基于价值分解网络的多智能体协同学习】【VDN】 【Value-Decomposition Networks For
    目录Value-DecompositionNetworksForCooperativeMulti-AgentLearning基于价值分解网络(VDN)的多智能体协同学习Abstract 摘要1Introduction引言1.1OtherRelatedWork 1.1其他相关工作2Background 2背景2.1ReinforcementLearning2.1强化学习​2.2De......