首页 > 其他分享 >差分约束

差分约束

时间:2024-03-22 21:57:52浏览次数:11  
标签:le 短路 差分 约束 转化 最大值

(例)layout

传送门

题目描述

当排队等候喂食时,奶牛喜欢和它们的朋友站得靠近些。FJ有N(2<=N<=1000)头奶牛,编号从1到N,沿一条直线站着等候喂食。奶牛排在队伍中的顺序和它们的编号是相同的。因为奶牛相当苗条,所以可能有两头或者更多奶牛站在同一位置上。即使说,如果我们想象奶牛是站在一条数轴上的话,允许有两头或更多奶牛拥有相同的横坐标。一些奶牛相互间存有好感,它们希望两者之间的距离不超过一个给定的数L。另一方面,一些奶牛相互间非常反感,它们希望两者间的距离不小于一个给定的数D。给出ML条关于两头奶牛间有好感的描述,再给出MD条关于两头奶牛间存有反感的描述。(1<=ML,MD<=10000,1<=L,D<=1000000)你的工作是:如果不存在满足要求的方案,输出-1;如果1号奶牛和N号奶牛间的距离可以任意大,输出-2;否则,计算出在满足所有要求的情况下,1号奶牛和N号奶牛间可能的最大距离。

样例

  • 输入
4 2 1
1 3 10
2 4 20
2 3 3
  • 输出
27

差分约束

借这道题学一下差分约束。

将解几个不等式转化为跑最短/长路。

到底是跑最短路还是最长路看题目是求最大值还是最小值.

  • 最短路:求最大值,转化为 \(a-b \le w\) , \(b\) 到 \(a\)建边.
  • 最长路:求最小值,转化为 \(a-b \ge w\) , \(b\) 到 \(a\)建边.

以下以最短路为例,最长路大同小异.

解析

\(a-b \le w\)
\(a \le w+b\)

和跑最短路时的状态转移方程类似

可以转化为:从 \(b\) 到 \(a\) 连一条长度为 \(w\) 的边,此时跑最短路求得时满足条件的最大值.

  • 为什么求的是最大值呢?

    \(a\le w+b\) 下面这个式子中,\(a\) 直接带入的最大值( \(w+b\) ),所以求的是最大值.

  • 为什么要跑最短路呢?

    因为要满足所有条件,所以要满足所有\(a \le x_i+w_i\) ,因此跑最短路.

if(d[a]>d[b]+w)   d[a]=d[b]+w;

本题注意

  • \(cow\) 有排列顺序,要从 \(i+1\) 到\(i\) 连一条边.
  • 超级原点判环,再跑 \(spfa\) 求值.

标签:le,短路,差分,约束,转化,最大值
From: https://www.cnblogs.com/ppllxx/p/18089190

相关文章

  • 【Python脚本随手笔记】 ---基于鸿蒙系统LiteOS实现差分编译脚本(下篇)
    ......
  • 前缀和与差分
    ​ 前缀和就是一直累加即可,可以用于非常极速\(O(1)\)的区间查询。​ 差分则是取每两个相邻数字的差值,可以用于非常急速\(O(1)\)的区间修改,当然仅限加减。如果是乘除什么的建议去线段树​ 差分做一次前缀和可以得到原数组,原数组再做一次前缀和就是前缀和......算了文字太绕了看下......
  • 动态开点并查集+树上差分
    https://www.acwing.com/problem/content/description/2071/每次合并的时候需要开一个新点去实现信息的无后效性,也就是合并之前的两个连通块信息是无法共享的,发现这样开点连边最后形成一棵树,每次我们将信息传递到新点,也是两个合并点的lca,这使得最后求答案的直接求一边树上前缀和......
  • Z3约束器使用流程
    Z3约束器使用流程目录Z3约束器使用流程z3基本数据类型初始化未知数序列z3求解四步骤1.创建约束求解器2.s.add3.判断解是否存在4.求解CTF中的Z3模板z3基本数据类型Int#整型Bool#布尔型Array#数组BitVe......
  • 二维前缀和&二维差分(超详细,python版,其他语言也很轻松能看懂)
    上一篇文章讲解了一维前缀和&一维差分,本篇进阶为二维。二维前缀和:二维前缀和跟一维前缀和求法相同,这里直接上例子。数组a=[[1,2,2,1],[3,2,2,1],[1,1,1,1]]a数组如图:则数组a的前缀和为:数组b[[1,3,5,6],[4,8,12,14],[5,10,15,18]]b数组如图:前缀和递推公式为b[i][......
  • 前缀和与差分
    前缀和:模版题:https://www.luogu.com.cn/problem/P8218二维前缀和:https://www.luogu.com.cn/problem/P2004前缀和应用:https://www.luogu.com.cn/problem/T430521前缀和应用二:https://www.luogu.com.cn/problem/T430522方法一:计算所有k的前缀和,要点:使用vector,效率nlogn其他......
  • FPGA静态时序分析与约束(二)、时序分析
    系列文章目录FPGA静态时序分析与约束(一)、理解亚稳态FPGA静态时序分析与约束(三)、读懂vivado时序报告文章目录系列文章目录前言一、时序分析基本概念1.1时钟抖动1.2时钟偏斜1.3时钟不确定性Uncertainty1.4建立时间和保持时间1.5启动沿和锁存沿二、时序分析基本步......
  • ic基础|时序篇06:输入约束set_input_delay与输出约束set_output_delay详解
    大家好,我是数字小熊饼干,一个练习时长两年半的ic打工人。我在两年前通过自学跨行社招加入了IC行业。现在我打算将这两年的工作经验和当初面试时最常问的一些问题进行总结,并通过汇总成文章的形式进行输出,相信无论你是在职的还是已经还准备入行,看过之后都会有有一些收获,如果看......
  • [算法学习笔记] 差分约束
    Description一个差分约束系统是这样的。给定一组包含\(m\)个不等式,有\(n\)个不等式形如:\[\begin{cases}x_{c_1}-x_{c'_1}\leqy_1\\x_{c_2}-x_{c'_2}\leqy_2\\\cdots\\x_{c_m}-x_{c'_m}\leqy_m\end{cases}\]求任意一组可行解。Solution观察这个式子:\(x_{c1}-......
  • MogDB openGauss数据完整性约束简介
    MogDB/openGauss数据完整性约束简介本文出处:https://www.modb.pro/db/124910创建基本表的同时,还可以指定表中数据完整性约束,例如在创建warehouse基本表时,通过分析可以得到如下结论:不同仓库必须有不同的w_id,且w_id不能为NULL。仓库必须有具体的名称,不能为NULL。仓库......