首页 > 其他分享 >提高性能、减小尺寸的1SG250HU3F50E3VG、1SG250HN3F43I3VG、1SG250HN3F43E2VG高性能 Stratix® FPGA概述

提高性能、减小尺寸的1SG250HU3F50E3VG、1SG250HN3F43I3VG、1SG250HN3F43E2VG高性能 Stratix® FPGA概述

时间:2024-03-11 16:45:30浏览次数:20  
标签:1SG250HN3F43E2VG FPGA 器件 收发器 1SG250HN3F43I3VG Stratix 1SG250HU3F50E3VG

概述

英特尔® Stratix® 10 GX FPGA 包含多达 1020 万个 LE。它们在单独的收发器块上配备多达 96 个通用收发器,可提供 2666 Mbps DDR4 外部内存接口性能。这些收发器可提供高达 28.3 Gbps 的短距离和跨背板传输。这些设备针对需要最高收发器带宽和核心结构性能的 FPGA 应用而优化。

提高性能、减小尺寸的1SG250HU3F50E3VG、1SG250HN3F43I3VG、1SG250HN3F43E2VG高性能 Stratix® FPGA —— 明佳达

器件

1、器件:1SG250HU3F50E3VG
类型:FPGA - 现场可编程门阵列
工作温度:0°C ~ 100°C
封装:2397-FBGA

2、器件:1SG250HN3F43I3VG
类型:FPGA - 现场可编程门阵列
工作温度:-40°C ~ 100°C
封装:1760-FBGA

3、器件:1SG250HN3F43E2VG
类型:FPGA - 现场可编程门阵列
工作温度:0°C ~ 100°C
封装:1760-FBGA

参数

系列 :Stratix 10 GX
逻辑元件数量 :2500000 LE
自适应逻辑模块 - ALM :821150 ALM
嵌入式内存 :195 Mbit
输入/输出端数量 :1160 I/O
电源电压-最小 :770 mV
电源电压-最大 :970 mV
数据速率 :28.3 Gb/s
收发器数量 :48 Transceiver

特性

更高吞吐量
利用2X内核时钟频率性能实现吞吐量突破
提高功效
采用Intel Hyperflex FPGA架构,可减小IP尺寸,将跨多个器件的设计整合到单个器件中,与上一代器件相比,功耗降低高达70%
更高设计功能
通过更快时钟频率降低总线宽度并减小知识产权 (IP) 尺寸,从而腾出额外的FPGA资源以增加功能
提高设计师工作效率
提高性能,减少路由拥堵,使用超感知设计工具实现更少设计迭代

注:本文部分内容与图片来源于网络,版权归原作者所有。如有侵权,请联系删除!

标签:1SG250HN3F43E2VG,FPGA,器件,收发器,1SG250HN3F43I3VG,Stratix,1SG250HU3F50E3VG
From: https://www.cnblogs.com/mingjiada/p/18066485

相关文章

  • XILINX FPGA 1/4/8通道PCIe DMA控制器IP,高性能版本IP介绍应用
    Multi-ChannelPCIeQDMA&RDMAIP1   介绍基于PCIExpressIntegratedBlock,Multi-ChannelPCIeQDMASubsystem实现了使用DMA地址队列的独立多通道、高性能Continous或ScatherGatherDMA,提供FIFO/AXI4-Stream用户接口。基于PCIExpressIntegratedBlock,Multi-ChannelPC......
  • FPGA的DAC转换部分遇到的问题
    利用线性序列机根据时序图和手册中的输出值的对应关系。DAC这边的知识基本相同。在验证的时候发现了问题,反推仿真的时候发现了,子啊lsm_cnt线性序列机计数的33到了之后还有一位,发现是set_en的问题,因为set_en使能才能计数。这边是正确的波形图和代码对应always@(posedgeclko......
  • 基于肤色模型和中值滤波的手部检测算法FPGA实现,包括tb测试文件和MATLAB辅助验证
    1.算法运行效果图预览RTL图:   仿真图:   导入到matlab显示效果如下:   2.算法运行软件版本matlab2022a vivado2019.2 3.算法理论概述      在计算机视觉领域,基于肤色模型和中值滤波的手部检测方法是一种常见的初步定位策略。该方法主要分为......
  • FPGA Develop Note ——— RAM
    FPGADevelopNote———RAMRAM的英文全称是RandomAccessMemory,即随机存取存储器,简称随机存储器。它可以随时把数据写入任一指定地址的存储单元,也可以随时从任一指定地址的存储单元中读出数据,其读写速度是由时钟频率决定的。TypesRAM类型特性说明数据读写口数量地......
  • FPGA Develop Note ——— PLL
    FPGADevelopNote———PLL主要尝试调用下这个ip核,进行了一个很小的例子。翻了个很傻的错误,但是毕竟也耽搁了自己好长时间,所以在这里记录下。流程IP-catalog->Search(sthrelatedlikeclock)SelectIPcatalogyouwantandconfigureit.Z7-lite的晶振为50M配置......
  • FPGA的ADC采集部分学习整理
    adc采集部分还是很有用的,模数转换在很多地方都用得到。使用的EDA模块上的ADC芯片是adc128s102。逐次逼近型ADC(一般单片机用的都是逐次逼近型,速度较快,成本低)。8通道以及12位分辨率。这边手册上说模拟电源的VA输入范围为2.7V~5.25VADC芯片,接入8个模拟输入引脚,输入模拟量。IN0~IN......
  • 基于FPGA的二维DCT变换和逆变换verilog实现,包含testbench
    1.算法运行效果图预览    数据导入到matlab显示图像 2.算法运行软件版本vivado2019.2 matlab2022a 3.算法理论概述       离散余弦变换(DiscreteCosineTransform,DCT)是一种广泛应用于图像和信号处理领域的变换技术。在图像处理中,DCT常被用于图像压......
  • m基于FPGA的RS+卷积级联编译码实现,RS用IP核实现,卷积用verilog实现,包含testbench测
    1.算法仿真效果Vivado2019.2仿真结果如下:  2.算法涉及理论知识概要        级联码是一种通过将两种或多种纠错码结合使用来提高纠错能力的编码方案。在RS+卷积级联编码中,通常首先使用卷积码对原始数据进行编码,以增加冗余并提供一定的纠错能力。然后,将卷积码的输......
  • 基于EP4CE6F17C8的FPGA开发流程(以半加器为例)
    一、电路模块1、芯片FPGA芯片型号为EP4CE6F17C8,属于ALTERA公司CycloneIV系统的产品。此型号为BGA封装,共有256个引脚。芯片实物图如下所示。其主要参数如下表所示。2、LED开发板板载了4个用户LED发光二极管。4个用户LED部分的原理图如下图所示,当FPGA的引脚输出为逻辑0......
  • 高云FPGA直驱HDMI显示器
    HDMI显示实现的方案同LVDS一样,只是HDMI采用自带的DVITXIP实现并行RGB转TMDS协议,点亮HDMI接口的显示器,需要注意的是HDMI的并行时钟和串行时钟除以5实现的,此部分可以阅读代码和官方的DVITXIP文档,文档有详细介绍。硬件设计上需要注意HDMITX的应用,框起来的部......