首页 > 其他分享 >this.selectComponent('#test'); 打印一直是null

this.selectComponent('#test'); 打印一直是null

时间:2023-06-25 15:45:04浏览次数:31  
标签:virtualHost selectComponent test null true options

this.selectComponent('#test'); 打印一直是null 组件里面的options这个选项virtualHost: true, 影响的。太坑了。。。 options: { virtualHost: true, } 删除就好了。 网上搜到到很多种情况,但是都不是:

 

 

 最后发现是:

 

组件里面的options这个选项virtualHost: true, 影响的。太坑了。。。 options: { virtualHost: true, },

标签:virtualHost,selectComponent,test,null,true,options
From: https://www.cnblogs.com/kpengfang/p/17503050.html

相关文章

  • pytest + yaml 框架 -41.postman 和 python代码也能录制成用例
    前言python代码和postman写的接口也能通过录制功能自动生成yaml格式用例了。python代码运行后自动录制环境准备参考前面一篇https://www.cnblogs.com/yoyoketang/p/17495374.html在项目本地新建一个recorde.py,名称随便定义frompytest_yaml_yoyo.mitm_httpimportRecode......
  • AtCoder Beginner Contest 212(E,F)
    AtCoderBeginnerContest212(E,F)E(dp)E题目大意为有\(n\)个点,我们需要找到\(k+1\)个点,用数组\(A\)表示,其中,\(A_i\)和\(A_{i+1}\)也不能一模一样,而且,规定\(A_0\)是\(1\),并且\(A_k\)也是\(1\),而且,还要满足下面的\(m\)种条边是不可以代表为\(A_i\)和\(A_{i+1}\),问我们可以......
  • SMU Spring 2023 Contest Round 6
    E.ExpenditureReduction从左右往右找到包含B字符的最近位置,然后从这个位置有从右到左找回去找到包含完所有B字符的位置,这个区间就是答案#include<bits/stdc++.h>#defineinf0x3f3f3f3f#defineendl'\n'#defineintlonglongusingnamespacestd;constintN=......
  • AtCoder Beginner Contest(abc) 299
    A-TreasureChest题目大意给定一个由'|''*'和'.'组成的字符串,并且保证一定有1个'*'和2个'|',检查'*'是否在两个'|'之间;解题思路签到题不多嗦了;但是这里可以注意一下string的find函数;find(charc,intpos)意为从第pos个字符开始找字符c,返回值......
  • Angular 应用里 NullInjectorError - No provider for XX 错误的一个场景和分析过程
    最近处理客户incident,有个客户从Spartacus4升级到5.2之后,启动Storefront,console遇到了一个错误消息:NullInjectorError-NoproviderforAnonymousConsentTemplatesAdapter!引起这个错误消息的场景有很多,这个incident最后的场景是:以前的module通过loadedforroot完......
  • AtCoder Regular Contest 154 C Roller
    洛谷传送门AtCoder传送门被这题干爆了考虑把环压缩成块。这样一次操作就是,选择两个相邻的块,把左边块长度减\(1\),右边块长度加\(1\)。特判\(a,b\)所有块长都是\(1\)的情况,这种情况不能操作。排除掉上面的情况,我们断言:有解的充要条件是,存在某一种\(a\)的顺序,使得\(b......
  • 基于FPGA的FSK调制解调通信系统verilog实现,包含testbench
    1.算法仿真效果vivado2019.2仿真结果如下:   2.算法涉及理论知识概要       频移键控是利用载波的频率变化来传递数字信息。数字频率调制是数据通信中使用较早的一种通信方式,由于这种调制解调方式容易实现,抗噪声和抗衰减性能较强,因此在中低速数字通信系统中得......
  • 基于FPGA的DDS开发和实现,可修改输出正弦的频率和相位,包含testbench
    1.算法仿真效果vivado2019.2仿真结果如下:输出2个不同频率的正弦信号:修改相位,得到如下所示。2.算法涉及理论知识概要直接数字频率合成技术(DirectDigitalSynthesis)完全不同于我们己经熟悉的直接频率合成技术和锁相环频率合成技术。直接数字频率合成技术(简称DDS)的理论早......
  • 基于FPGA的FSK调制解调通信系统verilog实现,包含testbench
    1.算法仿真效果vivado2019.2仿真结果如下:2.算法涉及理论知识概要频移键控是利用载波的频率变化来传递数字信息。数字频率调制是数据通信中使用较早的一种通信方式,由于这种调制解调方式容易实现,抗噪声和抗衰减性能较强,因此在中低速数字通信系统中得到了较为广泛的应用。......
  • 基于FPGA的DDS开发和实现,可修改输出正弦的频率和相位,包含testbench
    1.算法仿真效果vivado2019.2仿真结果如下: 输出2个不同频率的正弦信号:  修改相位,得到如下所示。 2.算法涉及理论知识概要     直接数字频率合成技术(DirectDigitalSynthesis)完全不同于我们己经熟悉的直接频率合成技术和锁相环频率合成技术。直接数字频率合......