首页 > 其他分享 >m基于FPGA的8点DCT变换verilog实现,包含testbench,并对比matlab的计算结果

m基于FPGA的8点DCT变换verilog实现,包含testbench,并对比matlab的计算结果

时间:2023-06-10 13:24:34浏览次数:50  
标签:wire FPGA 变换 18 verilog testbench DCT reg

1.算法仿真效果

本系统进行了两个平台的开发,分别是:

 

Vivado2019.2

 

Quartusii18.0+ModelSim-Altera 6.6d  Starter Edition

 

其中Vivado2019.2仿真结果如下:

 

 Quartusii18.0+ModelSim-Altera 6.6d  Starter Edition的测试结果如下:

 

 matlab对比结果如下:

 

       部分小的误差是由于FPGA设计过程的量化以及二进制位宽截取产生的,但总体数据大小和matlab仿真一致。

 

2.算法涉及理论知识概要

        DCT(Discrete Cosine Transform)是一种基于余弦函数的一维或二维离散变换,常用于信号压缩、图像处理等领域。在本文中,我们将介绍如何通过FPGA实现一维8点DCT变换。一维8点DCT(离散余弦变换)是一种常用的信号处理技术,它在图像和音频压缩、数据压缩和加密等领域中广泛应用。本文将介绍如何使用FPGA实现一维8点DCT变换。在开始实现之前,我们需要了解一些基础知识。DCT是一种变换,它将一组实值序列转换为一组实值序列。离散余弦变换(DCT)是一种将一组实值序列转换为一组实值序列的线性变换,它与傅里叶变换有关。一维8点DCT变换的公式如下:

X(k)=α(k)∑_(n=0)^(N-1) x(n)cos((π/N)(n+1/2)k)

其中,α(k)是一个归一化的系数,k=0,1,2,…,N-1。

 

         在FPGA中实现DCT变换时,需要将输入数据存储到FPGA的内部存储器中。对于一维8点DCT变换,输入数据长度为8,可以采用RAM(Random Access Memory)或FIFO(First In First Out)等数据存储器进行存储。

       DCT变换公式中包含余弦函数的计算,因此需要在FPGA中实现余弦函数的计算。通常可以采用LUT(Look-Up Table)或CORDIC(COordinate Rotation DIgital Computer)等方法进行计算。其中,LUT方法是通过预先计算余弦函数表格,并将其存储到FPGA的内部存储器中,然后根据输入角度值查找对应的余弦函数值。CORDIC方法则是通过迭代计算旋转角度和坐标值,最终得到余弦函数值。

 

        DCT变换公式中包含乘法和加法运算,因此需要在FPGA中实现乘法器和加法器。通常可以采用DSP(Digital Signal Processing)模块或硬件实现乘法器和加法器。在FPGA中,同步器和时钟是非常重要的组件。同步器用于将外部信号同步到FPGA内部时钟域中,以保证数据的稳定性和可靠性。时钟则是FPGA内部各模块协调工作的基础。

 

3.Verilog核心程序

 

reg [8:0] i_dat0;
reg [8:0] i_dat1;
reg [8:0] i_dat2;
reg [8:0] i_dat3;
reg [8:0] i_dat4;
reg [8:0] i_dat5;
reg [8:0] i_dat6;
reg [8:0] i_dat7;
 
 
wire [18:0]  o_dat0;
wire [18:0]  o_dat1;
wire [18:0]  o_dat2;
wire [18:0]  o_dat3;
wire [18:0]  o_dat4;
wire [18:0]  o_dat5;
wire [18:0]  o_dat6;
wire [18:0]  o_dat7;
 
 
                      
DCT8_tops uut (
.i_clk    (i_clk),
.i_rst    (i_rst),
.i_enable (i_enable),
.i_dat0   (i_dat0),
.i_dat1   (i_dat1),
.i_dat2   (i_dat2),
.i_dat3   (i_dat3),
.i_dat4   (i_dat4),
.i_dat5   (i_dat5),
.i_dat6   (i_dat6),
.i_dat7   (i_dat7),
.o_dat0   (o_dat0),
.o_dat1   (o_dat1),
.o_dat2   (o_dat2),
.o_dat3   (o_dat3),
.o_dat4   (o_dat4),
.o_dat5   (o_dat5),
.o_dat6   (o_dat6),
.o_dat7   (o_dat7)
);
 
 
 
 
 
initial                                                
begin                                                  
    i_rst    = 1;
    i_clk    = 1;	
    i_enable = 1;
    #1000 
    i_rst    = 0;
	i_dat0 = 8'd170;
    i_dat1 = 8'd160;
    i_dat2 = 8'd150;
    i_dat3 = 8'd140;
    i_dat4 = 8'd130;
    i_dat5 = 8'd120;
    i_dat6 = 8'd110;
    i_dat7 = 8'd100;
                                                     
end   
 
always #5 i_clk=~i_clk;
                                       
endmodule

 

  

 

标签:wire,FPGA,变换,18,verilog,testbench,DCT,reg
From: https://www.cnblogs.com/51matlab/p/17471146.html

相关文章

  • m基于FPGA的BPSK调制解调通信系统verilog实现,包含testbench,包含载波同步
    1.算法仿真效果vivado2019.2仿真结果如下:         对比没载波同步和有载波同步的仿真效果,我们可以看到,当不存在载波同步时,数据的包络会有一个缓慢的类正弦变换,这是由于存在频偏导致的。而当加入载波同步之后,数据的包络会存在少量起伏,但数据反转的情况已经没有了,说......
  • 高考答题卡怎么被机器识别?基于OpenCV答题卡识别模拟-米尔ARM+FPGA异构开发板
    本篇测评由优秀测评者“筑梦者与梦同行”提供。 01.前言MYD-JX8MMA7SDK发布说明 根据下图文件内容可以知道myir-image-full系统支持的功能,其支持OpenCV,也就不用在格外安装相关驱动包等,省了很多事情。02.MYD-JX8MMA7软件评估指南本文介绍了Python的基本操作,在文档中10......
  • m基于FPGA的FOC控制器verilog实现,包括CLARK,PARK,PID及SVPWM,含testbench
    1.算法仿真效果QuartusII12.1(64-Bit) ModelSim-Altera6.6dStarterEdition 仿真结果如下:   2.算法涉及理论知识概要整个系统的结构如下所示: 1、采集到两相电流 2、经过clarke变换后得到两轴正交电流量, 3、经过旋转变换后得到正交的电流量Id、Iq......
  • m基于DE2-115开发板的网口UDP数据收发系统FPGA实现
    1.算法仿真效果Quartusii18.0+DE2-115开发板测试结果如下: 一个DE2-115做发射,一个DE2-115做接收 发射0010 发射1001  发射1011 2.算法涉及理论知识概要        UDP是UserDatagramProtocol的简称,中文名是用户数据报协议,是OSI(OpenSystemInterc......
  • 通过状态机方法实现基于FPGA的维特比译码器,包含testbench测试文件
    1.算法仿真效果vivado2019.2仿真结果如下:2.算法涉及理论知识概要viterbi译码算法是一种卷积码的解码算法。优点不说了。缺点就是随着约束长度的增加算法的复杂度增加很快。约束长度N为7时要比较的路径就有64条,为8时路径变为128条。(2<<(N-1))。所以viterbi译码一般应用在约束......
  • 通过状态机方法实现基于FPGA的维特比译码器,包含testbench测试文件
    1.算法仿真效果vivado2019.2仿真结果如下: 2.算法涉及理论知识概要       viterbi译码算法是一种卷积码的解码算法。优点不说了。缺点就是随着约束长度的增加算法的复杂度增加很快。约束长度N为7时要比较的路径就有64条,为8时路径变为128条。(2<<(N-1))。所以viterbi......
  • 合并数组与非合并数组 -- SystemVerilog
    合并型数组(packed):合并型数组可以实现连续的存储,赋值时不需要用 ’{}。 数组中,数据排列为{ b_pack[2], b_pack[1],b_pack[0]},其中每个b_pack为8个bit;bit是二值逻辑,每位bit只占据1位。故24位(8bit*3)只占据一个word(一般一个word为32bit)的存储空间。 非合并型数组......
  • FPGA呼吸灯
    呼吸灯时序图如下图所示,cnt_1us=49(即1us)时,cnt_1ms加一;当cnt_1ms=999(即1ms)时,cnt_1s加一;当cnt_1s=999(即1s)时,en翻转;要实现led逐渐由灭到亮,即led每次低电平的时间逐渐有规律的增加。利用PWM改变占空比达到实现呼吸灯。当满足cnt_1ms<=cnt_1s时,led低电平时间可以满足逐渐增加的......
  • verilog工程建立
    1,新建工程 2, 3,工程建立在prj目录下。 4, 5,选择对应的器件。 6, 语言选择verilongHDL 7,完成工程创建。  8,8.1创建一个VerilogHDL文件 8.1.2保存VerilogHDL文件建议新建一个文件夹,保存在rtl文件夹下后续,编写代码,编译。 8.2导入一个已有的VerilogHDL文件 打开,编......
  • SystemVerilog for Design Edition 2 Chapter 7
    SystemVerilogforDesignEdition2Chapter7SystemVerilogaddsseveralnewoperatorsandproceduralstatementstotheVeriloglanguagethatallowmodelingmoreconcisesynthesizableRTLcode.Additionalenhancementsconveythedesigner’sintent,helping......