首页 > 其他分享 >【ZYNQ】SDK开发OV5640的HDMI显示

【ZYNQ】SDK开发OV5640的HDMI显示

时间:2023-05-01 14:22:48浏览次数:55  
标签:文件 HDMI 端口 ZYNQ OV5640 out SDK

平台:(正点原子)ZYNQ7020+OV5640

资料:正点原子

参考:

(新建Vitis SDK)https://blog.csdn.net/I_LOVE_MCU/article/details/109456549

(下载程序)https://www.bilibili.com/video/BV11j411f7Co?p=87

==============================================================================================

一、ZYNQ配置

(1)波特率

 

(2)UART串口

 

(3)DDR

 

(4)EMIO

 

(5)S_AXI_HP0

 

(6)VDMA时钟FCLK_CLK0 =100Mhz

 

(7)引出端口,改名

 

二、自定义IP核配置

 

(1)axi_dynclk_v1_0

 

(2)DVI_TX

 

(3)ov5640_cap_data

 

(4)连接dynck与dvi_transmitter

 

三、官方IP核配置

(1)v_vid_in_axi4s_0

 

 

(2)axi_vdma_0

 

 

 

(3)v_axi4s_vid_out_0

 

 

(4)v_tc_0

 

 

四、Block Design连线

(1)

 

(2)

 

(3)

 

(4)

 

 

 

(5)

 

(6)汇总

 

(7)run automation两次

 

五、FPGA编程

(1)验证block design

(2)封装顶层文件

(3)输出out product

(4)编写端口约束文件
注意:Block Design中引出的端口一定要和约束文件中一致。

(5)生成比特流

六、SDK编程

(1)导出xsa文件

 

 

 

 

 

 

 

 

 

(2)打开Vitis

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

(3)创建application工程

 

 

 =====================

 =====================

 =====================

(4)添加文件

 =====================

(5)build project

 =====================

 =====================

七、下载程序

SDK

 

=====================

=====================

 

 

 

 

标签:文件,HDMI,端口,ZYNQ,OV5640,out,SDK
From: https://www.cnblogs.com/steven913/p/17366475.html

相关文章

  • zynq7010,petalinux, USB-wifi测试
    zynq7010,基于linux验证USB-wifi功能1.相关电路图,这里貌似复位键默认上电开启的,引脚并没有印出来需要注意的地方注意芯片型号"USB3320",这个在linux内核中如果USB配置正确的话是会被打印出来的usbcore:registerednewinterfacedriverusb-storagechipidea-us......
  • 【ZYNQ】Vivado HLS端口约束小记
    【问】为什么m_axi要设置depth参数?【ChatGPT答】m_axi是一种用于FPGA设计中的总线协议,用于实现高速数据传输。在使用m_axi时,需要设置depth参数来定义队列的深度,以确保传输的可靠性和性能。队列是一种在数据传输过程中存储数据的结构。当发送数据的速度大于接收数据的速度时,队......
  • 【ZYNQ】学习笔记:VDMA彩条显示实验Part2
    【学习视频】正点原子https://www.bilibili.com/video/BV11j411f7Co===================================================================【ZYNQ】学习笔记:VDMA彩条显示实验Part1  https://www.cnblogs.com/steven913/p/17298510.html====================================......
  • 【ZYNQ】笔记:VDMA彩条显示实验
    【学习视频】正点原子https://www.bilibili.com/video/BV11j411f7Co===================================================================【学习笔记】【1】DDR的帧缓存操作:VDMA写数据至DDR;VDMA再从DDR中读取数据。作用:解决视频源与显示设备间速率、分辨率不匹配的问题。......
  • MIPI/LVDS/PCIE/HDMI 设计规范
          ......
  • 基于zynq的OV5640摄像头的sobel算子边缘检测
    最近鸽了挺久的,因为最近要做课设,再加上被这个工程的调试给难到了。在做该工程的时候,有一个良好的项目管理习惯会让开发的时候不会让人那么的高血压。特别要注意的是,异步FIFO的读写时钟的速率匹配问题,这个问题卡了我好久。1、sobel算子Sobel算法是像素图像边缘检测中最重要的......
  • HDMI接口之DDC
    摘自https://www.jianshu.com/p/bcbeeaf5152e DDC(DisplayDataChannel)通道用于HDMI发送和接收端之间交换一些配置信息。发送端通过DDC通道,读取接收端保存在EEPROM中......
  • 汉源高科4KHDMI高清音视频光端机带USB键鼠10G万兆光纤延长器实时非压缩 ​​​
    ......
  • 基于ZYNQ7020 ARM+FPGA的运动控制器设计方案
    ZYNQ7020系列运动控制器,支持6轴脉冲+16/32轴总线控制,本地36输入,24输出,RS232/485和TCP通讯。ZYNQ7020系列:1.本地脉冲轴数:6轴带编码器反馈,编码器输入最高频率:4MHz2.最大脉......
  • zynq基于DMA的串口传图
    小梅哥的这个ZYNQ开发板上的DDR3位于PS侧,PL侧想要使用DDR3作为缓存的话,得通过HP接口来与PS侧的DDR3控制进行通信。本次实验在小梅哥OV5640工程的基础上,通过修改VDMA的S2M......