首页 > 其他分享 >SystemVerilog for Design Edition 2 Catalog

SystemVerilog for Design Edition 2 Catalog

时间:2023-04-30 23:34:25浏览次数:48  
标签:Chapter enhancements Edition Catalog Design Verilog using data SystemVerilog

SystemVerilog for Design Edition 2 Catalog

Part 10: The 2022 Wilson Research Group Functional Verification Study - Verification Horizons (siemens.com)

Synthesizable SystemVerilog: Busting the Myth that SystemVerilog is only for Verification (sutherland-hdl.com)

Catalog:

Chapter 1: Introduction to SystemVerilog

Chapter 2: SystemVerilog Declaration Spaces

Chapter 3: SystemVerilog Literal Values and Built-in Data Types

Chapter 4: SystemVerilog User-Defined and Enumerated Types

Chapter 5: SystemVerilog Arrays, Structures and Unions

Chapter 6: SystemVerilog Procedural Blocks, Tasks and Functions

Chapter 7: SystemVerilog Procedural Statements

Chapter 8: Modeling Finite State Machines with SystemVerilog

Chapter 9: SystemVerilog Design Hierarchy

Chapter 10: SystemVerilog Interfaces

Chapter 11: A Complete Design Modeled with SystemVerilog

Chapter 12: Behavioral and Transaction Level Modeling

Topics covered
This book focusses on the portion of SystemVerilog that is intended for representing hardware designs in a manner that is both simulatable and synthesizable.

Chapter 1 presents a brief overview of SystemVerilog and the key enhancements that it adds to the Verilog language.

Chapter 2 discusses the enhancements SystemVerilog provides on where design data can be declared. Packages, $unit, shared variables and other important topics regarding declarations are covered.

Chapter 3 goes into detail on the many new data types SystemVerilog adds to Verilog. The chapter covers the intended and proper usage of these new data types.

Chapter 4 presents user-defined data types, a powerful enhancement to Verilog. The topics include how to create new data type definitions using typedef and defining enumerated type variables.

Chapter 5 looks at using structures and unions in hardware models. The chapter also presents a number of enhancements to arrays, together with suggestions as to how they can be used as abstract, yet synthesizable, hardware modeling constructs.

Chapter 6 presents the specialized procedural blocks, coding blocks and enhanced task and function definitions in SystemVerilog, and how these enhancements will help create models that are correct by design.

Chapter 7 shows how to use the enhancements to Verilog operators and procedural statements to code accurate and deterministic hardware models, using fewer lines of code compared to standard Verilog.

Chapter 8 provides guidelines on how to use enumerated types and specialized procedural blocks for modeling Finite State Machine (FSM) designs. This chapter also presents a number of guidelines on modeling hardware using 2-state logic.

Chapter 9 examines the enhancements to design hierarchy that SystemVerilog provides. Significant constructs are presented, including nested module declarations and simplified module instance declarations.

Chapter 10 discusses the powerful interface construct that SystemVerilog adds to Verilog. Interfaces greatly simplify the representation of complex busses and enable the creation of more intelligent, easier to use IP (intellectual property) models.

Chapter 11 ties together the concepts from all the previous chapters by applying them to a much more extensive example. The example shows a complete model of an ATM switch design, modeled in SystemVerilog.

Chapter 12 provides another complete example of using SystemVerilog. This chapter covers the usage of SystemVerilog to represent models at a much higher level of abstraction, using transactions.

Example: SystemVerilog code sample

module uart (output logic [7:0] data,
			 output logic data_rdy,
			 input serial_in);

enum {WAITE, LOAD, READY} State, NextState;

logic [2:0] bit_cnt;
logic cntr_rst, shift_en;

always_ff @(posedge clock, negedge resetN) begin: shifter
	if (!resetN)
		data <= 8'h0; //reset (active low)
	else if (shift_en)
		data <= {serial_in, data[7:1]}; //shift right
end: shifter
    
endmodule

Example testing
Most examples in this book have been tested using the Synopsys VCS® simulator, version 2005.06-SP1, and the Mentor Graphics Questa™ simulator, version 6.2. Most models in this book are synthesizable, and have been tested using the Synopsys DC Compiler™ synthesis compiler, version 2005.12.1

标签:Chapter,enhancements,Edition,Catalog,Design,Verilog,using,data,SystemVerilog
From: https://www.cnblogs.com/sasasatori/p/17365975.html

相关文章

  • SystemVerilog for Design Edition 2 Chapter 1
    SystemVerilogforDesignEdition2Chapter1IntroductiontoSystemVerilog:ThischapterprovidesanoverviewofSystemVerilog.Thetopicspresentedinthischapterinclude:•TheoriginsofSystemVerilog•TechnicaldonationsthatwentintoSystemVerilog......
  • 3、题目:Feedback in concept development: Comparing design disciplines
    期刊信息(1)作者:Yilmaz,Seda.(2)期刊:DesignStudies,2016,45:137-158(3)DOI:10.1016/j.destud.2015.12.008(4)ISSN:0142-694X(5)IF:3.853(Q2)研究背景设计反馈是促进学生设计进步的必要教学工具,但很少有研究关注教师的反馈是什么样子的,特别是在跨设计学科方面研......
  • 《Dashboard Design Patterns》
    今日组会分享了一篇有关可视化界面设计的论文,收获颇多,在此记录一下。论文期刊:IEEETRANSACTIONSONVISUALIZATIONANDCOMPUTERGRAPHICS,VOL.29,NO.1,JANUARy2023WhatisDashboard(可视化界面)?“Dashboard:Avisualdisplayofthemostimportantinformationneede......
  • Ant Design - 组件之 Tree树形控件
    AntDesign-组件之Tree树形控件针对tree树形组件封装了一个树形组件1.组件ui 2.组件名称ThemeCatalog 上面是image目录中的svg3.组件代码index.jsimportReact,{useEffect,useState}from'react';importPropTypesfrom'prop-types';importIcon,{Folde......
  • 在线设计Tkinter界面,生成Python代码,Tkinter布局助手,拖拽生成界面,tkinter designer,可视
    设计地址:https://www.pytk.net/tkinter-helper/? 运行演示  教程地址:https://www.pytk.net/tkinter.html 常用演示"""本代码由[Tkinter布局助手]生成当前版本:3.2.4官网:https://www.pytk.net/tkinter-helperQQ交流群:788392508"""fromtkinterimportmessag......
  • PowerDesigner 数据库建模 - 生成表和测试数据
    新建模型NewModel选择PhysicalDataModel-- PhysicalDiagram新建表编辑字段信息新建了两张表创建外键配置数据库连接可以测试一下是否可以连接连接数据库生成建表SQL执行SQL点击run生成测试数据点击run......
  • Responsive design(译)
    https://developer.mozilla.org/en-US/docs/Learn/CSS/CSS_layout/Responsive_Design响应式网页设计(RWD)是一种网页设计方法,使网页在所有屏幕尺寸和分辨率下都能很好地呈现,同时确保良好的可用性。这是设计多设备网络的一种方式。在本文中,我们将帮助您了解一些可以用来掌握它的技......
  • ant-design blazor 简单使用教程
    使用教程  先本地安装模板,然后再创建项目例:dotnetnew--installAntDesign.Templates dotnetnewantdesign--host=wasm--full-omonitor生成后的项目如图所示: 直接运行,就可以看到结果了,开发效率确实很高,适用于小型项目,比如监控面板这种内部用,又没有多少开发资......
  • 使用Qt Designer生成的两个UI文件,实现在主界面中点击后弹出另一个界面
    QtDesigner生成的ui代码policy.py#Formimplementationgeneratedfromreadinguifile'policy.ui'##Createdby:PyQt6UIcodegenerator6.4.2##WARNING:Anymanualchangesmadetothisfilewillbelostwhenpyuic6is#runagain.Donotedit......
  • PySide6、PyQt6、ui文件转py文件、Qt Designer 使用
    QT官网:https://www.qt.io/zh-cn/develop1、PySide6、*PyQt6、PyQt5*PySide6、PySide2、PyQt5都是基于Qt库,Qt是一组C++库和开发工具,包括图形用户界面、网络、线程、正则表达式、SQL数据库、SVG、OpenGL、XML、用户和应用程序设置、定位和定位服务、短程通信(NFC和蓝牙)......